From c6a847446bc4935b8bcd0e8265373321fdd5e165 Mon Sep 17 00:00:00 2001 From: pa3gsb Date: Fri, 9 Mar 2018 21:42:53 +0100 Subject: [PATCH] compiled --- .../output_files/radioberry-10CL016.asm.rpt | 14 +- firmware/output_files/radioberry-10CL016.done | 2 +- .../output_files/radioberry-10CL016.fit.rpt | 12000 ++++++++-------- .../radioberry-10CL016.fit.summary | 10 +- .../output_files/radioberry-10CL016.flow.rpt | 26 +- .../output_files/radioberry-10CL016.map.rpt | 234 +- .../radioberry-10CL016.map.summary | 10 +- firmware/output_files/radioberry-10CL016.rbf | Bin 274104 -> 275058 bytes firmware/output_files/radioberry-10CL016.sof | Bin 496950 -> 496950 bytes .../output_files/radioberry-10CL016.sta.rpt | 11668 +++++++-------- .../radioberry-10CL016.sta.summary | 248 +- firmware/radioberry-10CL016.qws | Bin 48 -> 0 bytes firmware/radioberry.qpf | 6 +- firmware/radioberry.qws | Bin 1325 -> 764 bytes 14 files changed, 12122 insertions(+), 12096 deletions(-) delete mode 100644 firmware/radioberry-10CL016.qws diff --git a/firmware/output_files/radioberry-10CL016.asm.rpt b/firmware/output_files/radioberry-10CL016.asm.rpt index 31225c9..c0d4f97 100644 --- a/firmware/output_files/radioberry-10CL016.asm.rpt +++ b/firmware/output_files/radioberry-10CL016.asm.rpt @@ -1,5 +1,5 @@ Assembler report for radioberry-10CL016 -Sat Feb 24 19:55:07 2018 +Fri Mar 09 21:37:58 2018 Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition @@ -39,7 +39,7 @@ agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sat Feb 24 19:55:07 2018 ; +; Assembler Status ; Successful - Fri Mar 09 21:37:58 2018 ; ; Revision Name ; radioberry-10CL016 ; ; Top-level Entity Name ; radioberry ; ; Family ; Cyclone 10 LP ; @@ -69,8 +69,8 @@ agreement for further details. +----------------+---------------------------------------------------------------------------------+ ; Option ; Setting ; +----------------+---------------------------------------------------------------------------------+ -; JTAG usercode ; 0x009E0954 ; -; Checksum ; 0x009E0954 ; +; JTAG usercode ; 0x009F0FED ; +; Checksum ; 0x009F0FED ; +----------------+---------------------------------------------------------------------------------+ @@ -90,14 +90,14 @@ agreement for further details. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition - Info: Processing started: Sat Feb 24 19:55:04 2018 + Info: Processing started: Fri Mar 09 21:37:56 2018 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off radioberry -c radioberry-10CL016 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 655 megabytes - Info: Processing ended: Sat Feb 24 19:55:07 2018 + Info: Peak virtual memory: 658 megabytes + Info: Processing ended: Fri Mar 09 21:37:59 2018 Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:03 diff --git a/firmware/output_files/radioberry-10CL016.done b/firmware/output_files/radioberry-10CL016.done index af1834f..840b56c 100644 --- a/firmware/output_files/radioberry-10CL016.done +++ b/firmware/output_files/radioberry-10CL016.done @@ -1 +1 @@ -Sat Feb 24 19:55:22 2018 +Fri Mar 09 21:38:14 2018 diff --git a/firmware/output_files/radioberry-10CL016.fit.rpt b/firmware/output_files/radioberry-10CL016.fit.rpt index 7615cbc..891d576 100644 --- a/firmware/output_files/radioberry-10CL016.fit.rpt +++ b/firmware/output_files/radioberry-10CL016.fit.rpt @@ -1,5 +1,5 @@ Fitter report for radioberry-10CL016 -Sat Feb 24 19:55:00 2018 +Fri Mar 09 21:37:51 2018 Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition @@ -95,17 +95,17 @@ agreement for further details. +----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sat Feb 24 19:54:59 2018 ; +; Fitter Status ; Successful - Fri Mar 09 21:37:51 2018 ; ; Quartus Prime Version ; 17.0.2 Build 602 07/19/2017 SJ Lite Edition ; ; Revision Name ; radioberry-10CL016 ; ; Top-level Entity Name ; radioberry ; ; Family ; Cyclone 10 LP ; ; Device ; 10CL016YE144C8G ; ; Timing Models ; Final ; -; Total logic elements ; 14,210 / 15,408 ( 92 % ) ; -; Total combinational functions ; 11,268 / 15,408 ( 73 % ) ; -; Dedicated logic registers ; 10,886 / 15,408 ( 71 % ) ; -; Total registers ; 10886 ; +; Total logic elements ; 14,248 / 15,408 ( 92 % ) ; +; Total combinational functions ; 11,301 / 15,408 ( 73 % ) ; +; Dedicated logic registers ; 10,913 / 15,408 ( 71 % ) ; +; Total registers ; 10913 ; ; Total pins ; 48 / 79 ( 61 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 374,912 / 516,096 ( 73 % ) ; @@ -181,12 +181,12 @@ agreement for further details. ; Number detected on machine ; 4 ; ; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.14 ; +; Average used ; 1.13 ; ; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 13.8% ; +; Processor 2 ; 12.9% ; +----------------------------+-------------+ @@ -2072,8 +2072,8 @@ agreement for further details. ; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; +---------------------+----------------------+----------------------------+--------------------------+ ; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 24417 ) ; 0.00 % ( 0 / 24417 ) ; 0.00 % ( 0 / 24417 ) ; -; -- Achieved ; 0.00 % ( 0 / 24417 ) ; 0.00 % ( 0 / 24417 ) ; 0.00 % ( 0 / 24417 ) ; +; -- Requested ; 0.00 % ( 0 / 24477 ) ; 0.00 % ( 0 / 24477 ) ; 0.00 % ( 0 / 24477 ) ; +; -- Achieved ; 0.00 % ( 0 / 24477 ) ; 0.00 % ( 0 / 24477 ) ; 0.00 % ( 0 / 24477 ) ; ; ; ; ; ; ; Routing (by net) ; ; ; ; ; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; @@ -2096,7 +2096,7 @@ agreement for further details. +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 24412 ) ; N/A ; Source File ; N/A ; ; +; Top ; 0.00 % ( 0 / 24472 ) ; N/A ; Source File ; N/A ; ; ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 5 ) ; N/A ; Source File ; N/A ; ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ @@ -2112,26 +2112,26 @@ The pin-out file can be found in C:/dev/git/Radioberry-2.x/firmware/output_files +---------------------------------------------+----------------------------+ ; Resource ; Usage ; +---------------------------------------------+----------------------------+ -; Total logic elements ; 14,210 / 15,408 ( 92 % ) ; -; -- Combinational with no register ; 3324 ; -; -- Register only ; 2942 ; -; -- Combinational with a register ; 7944 ; +; Total logic elements ; 14,248 / 15,408 ( 92 % ) ; +; -- Combinational with no register ; 3335 ; +; -- Register only ; 2947 ; +; -- Combinational with a register ; 7966 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1188 ; -; -- 3 input functions ; 7017 ; -; -- <=2 input functions ; 3063 ; -; -- Register only ; 2942 ; +; -- 4 input functions ; 1189 ; +; -- 3 input functions ; 7026 ; +; -- <=2 input functions ; 3086 ; +; -- Register only ; 2947 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 3100 ; -; -- arithmetic mode ; 8168 ; +; -- normal mode ; 3108 ; +; -- arithmetic mode ; 8193 ; ; ; ; -; Total registers* ; 10,886 / 15,728 ( 69 % ) ; -; -- Dedicated logic registers ; 10,886 / 15,408 ( 71 % ) ; +; Total registers* ; 10,913 / 15,728 ( 69 % ) ; +; -- Dedicated logic registers ; 10,913 / 15,408 ( 71 % ) ; ; -- I/O registers ; 0 / 320 ( 0 % ) ; ; ; ; -; Total LABs: partially or completely used ; 955 / 963 ( 99 % ) ; +; Total LABs: partially or completely used ; 957 / 963 ( 99 % ) ; ; Virtual pins ; 0 ; ; I/O pins ; 48 / 79 ( 61 % ) ; ; -- Clock pins ; 1 / 8 ( 13 % ) ; @@ -2149,12 +2149,12 @@ The pin-out file can be found in C:/dev/git/Radioberry-2.x/firmware/output_files ; ASMI blocks ; 0 / 1 ( 0 % ) ; ; Oscillator blocks ; 0 / 1 ( 0 % ) ; ; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 33.2% / 34.9% / 31.0% ; -; Peak interconnect usage (total/H/V) ; 48.1% / 49.7% / 45.8% ; -; Maximum fan-out ; 10373 ; -; Highest non-global fan-out ; 10373 ; -; Total fan-out ; 68364 ; -; Average fan-out ; 2.86 ; +; Average interconnect usage (total/H/V) ; 34.7% / 37.2% / 31.4% ; +; Peak interconnect usage (total/H/V) ; 44.9% / 47.9% / 46.5% ; +; Maximum fan-out ; 10400 ; +; Highest non-global fan-out ; 10400 ; +; Total fan-out ; 68533 ; +; Average fan-out ; 2.85 ; +---------------------------------------------+----------------------------+ * Register count does not include registers inside RAM blocks or DSP blocks. @@ -2167,26 +2167,26 @@ The pin-out file can be found in C:/dev/git/Radioberry-2.x/firmware/output_files +---------------------------------------------+------------------------+--------------------------------+ ; Difficulty Clustering Region ; Low ; Low ; ; ; ; ; -; Total logic elements ; 14210 / 15408 ( 92 % ) ; 0 / 15408 ( 0 % ) ; -; -- Combinational with no register ; 3324 ; 0 ; -; -- Register only ; 2942 ; 0 ; -; -- Combinational with a register ; 7944 ; 0 ; +; Total logic elements ; 14248 / 15408 ( 92 % ) ; 0 / 15408 ( 0 % ) ; +; -- Combinational with no register ; 3335 ; 0 ; +; -- Register only ; 2947 ; 0 ; +; -- Combinational with a register ; 7966 ; 0 ; ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 1188 ; 0 ; -; -- 3 input functions ; 7017 ; 0 ; -; -- <=2 input functions ; 3063 ; 0 ; -; -- Register only ; 2942 ; 0 ; +; -- 4 input functions ; 1189 ; 0 ; +; -- 3 input functions ; 7026 ; 0 ; +; -- <=2 input functions ; 3086 ; 0 ; +; -- Register only ; 2947 ; 0 ; ; ; ; ; ; Logic elements by mode ; ; ; -; -- normal mode ; 3100 ; 0 ; -; -- arithmetic mode ; 8168 ; 0 ; +; -- normal mode ; 3108 ; 0 ; +; -- arithmetic mode ; 8193 ; 0 ; ; ; ; ; -; Total registers ; 10886 ; 0 ; -; -- Dedicated logic registers ; 10886 / 15408 ( 71 % ) ; 0 / 15408 ( 0 % ) ; +; Total registers ; 10913 ; 0 ; +; -- Dedicated logic registers ; 10913 / 15408 ( 71 % ) ; 0 / 15408 ( 0 % ) ; ; -- I/O registers ; 0 ; 0 ; ; ; ; ; -; Total LABs: partially or completely used ; 955 / 963 ( 99 % ) ; 0 / 963 ( 0 % ) ; +; Total LABs: partially or completely used ; 957 / 963 ( 99 % ) ; 0 / 963 ( 0 % ) ; ; ; ; ; ; Virtual pins ; 0 ; 0 ; ; I/O pins ; 48 ; 0 ; @@ -2204,8 +2204,8 @@ The pin-out file can be found in C:/dev/git/Radioberry-2.x/firmware/output_files ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; -; -- Total Connections ; 71283 ; 92 ; -; -- Registered Connections ; 27225 ; 0 ; +; -- Total Connections ; 71452 ; 92 ; +; -- Registered Connections ; 27274 ; 0 ; ; ; ; ; ; External Connections ; ; ; ; -- Top ; 24 ; 88 ; @@ -2239,10 +2239,10 @@ The pin-out file can be found in C:/dev/git/Radioberry-2.x/firmware/output_files +------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+-----------+ ; KEY_DASH ; 121 ; 7 ; 26 ; 29 ; 14 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVCMOS ; -- ; User ; no ; ; KEY_DOT ; 120 ; 7 ; 28 ; 29 ; 14 ; 7 ; 0 ; no ; no ; no ; yes ; no ; On ; 3.3-V LVCMOS ; -- ; User ; no ; -; ad9866_clk ; 69 ; 4 ; 37 ; 0 ; 28 ; 10373 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; +; ad9866_clk ; 69 ; 4 ; 37 ; 0 ; 28 ; 10400 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; ; ad9866_sdo ; 67 ; 4 ; 35 ; 0 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; ; clk_10mhz ; 55 ; 4 ; 21 ; 0 ; 0 ; 98 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; -; ptt_in ; 42 ; 3 ; 5 ; 0 ; 7 ; 176 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; +; ptt_in ; 42 ; 3 ; 5 ; 0 ; 7 ; 177 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; ; spi_ce[0] ; 51 ; 3 ; 19 ; 0 ; 0 ; 175 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; ; spi_ce[1] ; 50 ; 3 ; 19 ; 0 ; 7 ; 133 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; ; spi_mosi ; 60 ; 4 ; 26 ; 0 ; 7 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVCMOS ; -- ; User ; no ; @@ -2606,51 +2606,51 @@ Note: Pin directions (input, output or bidir) are based on device operating in u +-------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +-------------------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------+--------------+ -; |radioberry ; 14210 (191) ; 10886 (117) ; 0 (0) ; 374912 ; 51 ; 94 ; 0 ; 47 ; 48 ; 0 ; 3324 (91) ; 2942 (23) ; 7944 (47) ; |radioberry ; radioberry ; work ; +; |radioberry ; 14248 (197) ; 10913 (117) ; 0 (0) ; 374912 ; 51 ; 94 ; 0 ; 47 ; 48 ; 0 ; 3335 (96) ; 2947 (32) ; 7966 (42) ; |radioberry ; radioberry ; work ; ; |PLL_IAMBIC:PLL_IAMBIC_inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|PLL_IAMBIC:PLL_IAMBIC_inst ; PLL_IAMBIC ; work ; ; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component ; altpll ; work ; ; |PLL_IAMBIC_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated ; PLL_IAMBIC_altpll ; work ; -; |ad9866:ad9866_inst| ; 93 (93) ; 29 (29) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 59 (59) ; 1 (1) ; 33 (33) ; |radioberry|ad9866:ad9866_inst ; ad9866 ; work ; -; |filter:filter_inst| ; 60 (60) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 34 (34) ; 1 (1) ; 25 (25) ; |radioberry|filter:filter_inst ; filter ; work ; -; |iambic:iambic_inst| ; 654 (95) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 582 (63) ; 0 (0) ; 72 (32) ; |radioberry|iambic:iambic_inst ; iambic ; work ; -; |lpm_divide:Div0| ; 191 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 179 (0) ; 0 (0) ; 12 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0 ; lpm_divide ; work ; -; |lpm_divide_tim:auto_generated| ; 191 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 179 (0) ; 0 (0) ; 12 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0|lpm_divide_tim:auto_generated ; lpm_divide_tim ; work ; -; |sign_div_unsign_slh:divider| ; 191 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 179 (0) ; 0 (0) ; 12 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0|lpm_divide_tim:auto_generated|sign_div_unsign_slh:divider ; sign_div_unsign_slh ; work ; -; |alt_u_div_57f:divider| ; 191 (191) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 179 (179) ; 0 (0) ; 12 (12) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0|lpm_divide_tim:auto_generated|sign_div_unsign_slh:divider|alt_u_div_57f:divider ; alt_u_div_57f ; work ; -; |lpm_divide:Div1| ; 368 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 340 (0) ; 0 (0) ; 28 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1 ; lpm_divide ; work ; -; |lpm_divide_uim:auto_generated| ; 368 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 340 (0) ; 0 (0) ; 28 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1|lpm_divide_uim:auto_generated ; lpm_divide_uim ; work ; -; |sign_div_unsign_tlh:divider| ; 368 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 340 (0) ; 0 (0) ; 28 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1|lpm_divide_uim:auto_generated|sign_div_unsign_tlh:divider ; sign_div_unsign_tlh ; work ; -; |alt_u_div_77f:divider| ; 368 (368) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 340 (340) ; 0 (0) ; 28 (28) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1|lpm_divide_uim:auto_generated|sign_div_unsign_tlh:divider|alt_u_div_77f:divider ; alt_u_div_77f ; work ; +; |ad9866:ad9866_inst| ; 92 (92) ; 29 (29) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 54 (54) ; 0 (0) ; 38 (38) ; |radioberry|ad9866:ad9866_inst ; ad9866 ; work ; +; |filter:filter_inst| ; 59 (59) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 37 (37) ; 1 (1) ; 21 (21) ; |radioberry|filter:filter_inst ; filter ; work ; +; |iambic:iambic_inst| ; 654 (95) ; 30 (30) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 605 (62) ; 0 (0) ; 49 (33) ; |radioberry|iambic:iambic_inst ; iambic ; work ; +; |lpm_divide:Div0| ; 191 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 186 (0) ; 0 (0) ; 5 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0 ; lpm_divide ; work ; +; |lpm_divide_tim:auto_generated| ; 191 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 186 (0) ; 0 (0) ; 5 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0|lpm_divide_tim:auto_generated ; lpm_divide_tim ; work ; +; |sign_div_unsign_slh:divider| ; 191 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 186 (0) ; 0 (0) ; 5 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0|lpm_divide_tim:auto_generated|sign_div_unsign_slh:divider ; sign_div_unsign_slh ; work ; +; |alt_u_div_57f:divider| ; 191 (191) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 186 (186) ; 0 (0) ; 5 (5) ; |radioberry|iambic:iambic_inst|lpm_divide:Div0|lpm_divide_tim:auto_generated|sign_div_unsign_slh:divider|alt_u_div_57f:divider ; alt_u_div_57f ; work ; +; |lpm_divide:Div1| ; 368 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 357 (0) ; 0 (0) ; 11 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1 ; lpm_divide ; work ; +; |lpm_divide_uim:auto_generated| ; 368 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 357 (0) ; 0 (0) ; 11 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1|lpm_divide_uim:auto_generated ; lpm_divide_uim ; work ; +; |sign_div_unsign_tlh:divider| ; 368 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 357 (0) ; 0 (0) ; 11 (0) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1|lpm_divide_uim:auto_generated|sign_div_unsign_tlh:divider ; sign_div_unsign_tlh ; work ; +; |alt_u_div_77f:divider| ; 368 (368) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 357 (357) ; 0 (0) ; 11 (11) ; |radioberry|iambic:iambic_inst|lpm_divide:Div1|lpm_divide_uim:auto_generated|sign_div_unsign_tlh:divider|alt_u_div_77f:divider ; alt_u_div_77f ; work ; ; |lpm_mult:Mult0| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|iambic:iambic_inst|lpm_mult:Mult0 ; lpm_mult ; work ; ; |mult_jbt:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|iambic:iambic_inst|lpm_mult:Mult0|mult_jbt:auto_generated ; mult_jbt ; work ; -; |lpm_mult:Mult0| ; 72 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 66 (0) ; 0 (0) ; 6 (0) ; |radioberry|lpm_mult:Mult0 ; lpm_mult ; work ; -; |mult_igt:auto_generated| ; 72 (72) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 66 (66) ; 0 (0) ; 6 (6) ; |radioberry|lpm_mult:Mult0|mult_igt:auto_generated ; mult_igt ; work ; -; |lpm_mult:Mult1| ; 72 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 63 (0) ; 0 (0) ; 9 (0) ; |radioberry|lpm_mult:Mult1 ; lpm_mult ; work ; -; |mult_igt:auto_generated| ; 72 (72) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 63 (63) ; 0 (0) ; 9 (9) ; |radioberry|lpm_mult:Mult1|mult_igt:auto_generated ; mult_igt ; work ; -; |lpm_mult:Mult2| ; 72 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 72 (0) ; 0 (0) ; 0 (0) ; |radioberry|lpm_mult:Mult2 ; lpm_mult ; work ; -; |mult_igt:auto_generated| ; 72 (72) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 72 (72) ; 0 (0) ; 0 (0) ; |radioberry|lpm_mult:Mult2|mult_igt:auto_generated ; mult_igt ; work ; +; |lpm_mult:Mult0| ; 72 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 69 (0) ; 0 (0) ; 3 (0) ; |radioberry|lpm_mult:Mult0 ; lpm_mult ; work ; +; |mult_igt:auto_generated| ; 72 (72) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 69 (69) ; 0 (0) ; 3 (3) ; |radioberry|lpm_mult:Mult0|mult_igt:auto_generated ; mult_igt ; work ; +; |lpm_mult:Mult1| ; 72 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 68 (0) ; 0 (0) ; 4 (0) ; |radioberry|lpm_mult:Mult1 ; lpm_mult ; work ; +; |mult_igt:auto_generated| ; 72 (72) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 68 (68) ; 0 (0) ; 4 (4) ; |radioberry|lpm_mult:Mult1|mult_igt:auto_generated ; mult_igt ; work ; +; |lpm_mult:Mult2| ; 72 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 67 (0) ; 0 (0) ; 5 (0) ; |radioberry|lpm_mult:Mult2 ; lpm_mult ; work ; +; |mult_igt:auto_generated| ; 72 (72) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 8 ; 0 ; 4 ; 0 ; 0 ; 67 (67) ; 0 (0) ; 5 (5) ; |radioberry|lpm_mult:Mult2|mult_igt:auto_generated ; mult_igt ; work ; ; |profile:profile_CW| ; 107 (107) ; 55 (55) ; 0 (0) ; 16000 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 52 (52) ; 0 (0) ; 55 (55) ; |radioberry|profile:profile_CW ; profile ; work ; ; |profile_ROM:profile_ROM_inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 16000 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|profile:profile_CW|profile_ROM:profile_ROM_inst ; profile_ROM ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 16000 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_6f91:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 16000 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated ; altsyncram_6f91 ; work ; -; |receiver:receiver_rx2_inst| ; 4324 (0) ; 3528 (0) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 750 (0) ; 961 (0) ; 2613 (0) ; |radioberry|receiver:receiver_rx2_inst ; receiver ; work ; -; |cic:cic_inst_I2| ; 257 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 52 (1) ; 198 (32) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2 ; cic ; work ; -; |cic_comb:cic_stages[0].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (25) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[1].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 18 (18) ; 32 (32) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; +; |receiver:receiver_rx2_inst| ; 4304 (0) ; 3528 (0) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 729 (0) ; 949 (0) ; 2626 (0) ; |radioberry|receiver:receiver_rx2_inst ; receiver ; work ; +; |cic:cic_inst_I2| ; 248 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (14) ; 50 (1) ; 184 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2 ; cic ; work ; +; |cic_comb:cic_stages[0].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 22 (22) ; 28 (28) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[1].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 19 (19) ; 31 (31) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[2].cic_comb_inst| ; 42 (42) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 8 (8) ; 34 (34) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; -; |cic:cic_inst_Q2| ; 241 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 52 (1) ; 184 (34) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2 ; cic ; work ; -; |cic_comb:cic_stages[0].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 24 (24) ; 26 (26) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[1].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 35 (35) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[2].cic_comb_inst| ; 42 (42) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 30 (30) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; +; |cic:cic_inst_Q2| ; 244 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 55 (1) ; 182 (32) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2 ; cic ; work ; +; |cic_comb:cic_stages[0].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 25 (25) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[1].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 33 (33) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[2].cic_comb_inst| ; 47 (47) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 35 (35) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; -; |cordic:cordic_inst| ; 1086 (1086) ; 681 (681) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 362 (362) ; 48 (48) ; 676 (676) ; |radioberry|receiver:receiver_rx2_inst|cordic:cordic_inst ; cordic ; work ; -; |firX8R8:fir2| ; 1337 (261) ; 1045 (61) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 292 (200) ; 399 (0) ; 646 (72) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2 ; firX8R8 ; work ; -; |fir256:A| ; 135 (135) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 12 (12) ; 53 (53) ; 70 (70) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A ; fir256 ; work ; +; |cordic:cordic_inst| ; 1080 (1080) ; 681 (681) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 346 (346) ; 43 (43) ; 691 (691) ; |radioberry|receiver:receiver_rx2_inst|cordic:cordic_inst ; cordic ; work ; +; |firX8R8:fir2| ; 1325 (270) ; 1045 (61) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 280 (209) ; 394 (0) ; 651 (65) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2 ; firX8R8 ; work ; +; |fir256:A| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 48 (48) ; 75 (75) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2661,7 +2661,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:B| ; 134 (134) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 11 (11) ; 52 (52) ; 71 (71) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B ; fir256 ; work ; +; |fir256:B| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 48 (48) ; 75 (75) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2672,7 +2672,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:C| ; 135 (135) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 12 (12) ; 41 (41) ; 82 (82) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C ; fir256 ; work ; +; |fir256:C| ; 131 (131) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 8 (8) ; 49 (49) ; 74 (74) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2683,7 +2683,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:D| ; 140 (140) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 17 (17) ; 58 (58) ; 65 (65) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D ; fir256 ; work ; +; |fir256:D| ; 131 (131) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 8 (8) ; 49 (49) ; 74 (74) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2694,7 +2694,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:E| ; 134 (134) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 11 (11) ; 48 (48) ; 75 (75) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E ; fir256 ; work ; +; |fir256:E| ; 136 (136) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 13 (13) ; 52 (52) ; 71 (71) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2705,7 +2705,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:F| ; 138 (138) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 15 (15) ; 55 (55) ; 68 (68) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F ; fir256 ; work ; +; |fir256:F| ; 131 (131) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 8 (8) ; 47 (47) ; 76 (76) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2716,7 +2716,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:G| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 46 (46) ; 77 (77) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G ; fir256 ; work ; +; |fir256:G| ; 131 (131) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 8 (8) ; 48 (48) ; 75 (75) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2727,7 +2727,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:H| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 46 (46) ; 77 (77) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H ; fir256 ; work ; +; |fir256:H| ; 135 (135) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 12 (12) ; 53 (53) ; 70 (70) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2738,46 +2738,46 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |varcic:varcic_inst_I1| ; 710 (76) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 43 (43) ; 205 (1) ; 462 (32) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1 ; varcic ; work ; -; |cic_comb:cic_stages[0].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 44 (44) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[1].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; +; |varcic:varcic_inst_I1| ; 708 (75) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 203 (0) ; 464 (34) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1 ; varcic ; work ; +; |cic_comb:cic_stages[0].cic_comb_inst| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 44 (44) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[1].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 44 (44) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[2].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[3].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[4].cic_comb_inst| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[4].cic_comb_inst| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 31 (31) ; 44 (44) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[3].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst ; cic_integrator ; work ; -; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 44 (44) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; -; |varcic:varcic_inst_Q1| ; 709 (75) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 205 (1) ; 463 (33) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1 ; varcic ; work ; +; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; +; |varcic:varcic_inst_Q1| ; 708 (74) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 204 (0) ; 463 (33) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1 ; varcic ; work ; ; |cic_comb:cic_stages[0].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 44 (44) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[1].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[2].cic_comb_inst| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 44 (44) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[3].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 44 (44) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[2].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[3].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[4].cic_comb_inst| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[3].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 44 (44) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 43 (43) ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; -; |receiver:receiver_rx_inst| ; 4328 (0) ; 3528 (0) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 780 (0) ; 916 (0) ; 2632 (0) ; |radioberry|receiver:receiver_rx_inst ; receiver ; work ; -; |cic:cic_inst_I2| ; 251 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 57 (1) ; 178 (23) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2 ; cic ; work ; +; |receiver:receiver_rx_inst| ; 4300 (0) ; 3528 (0) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 766 (0) ; 908 (0) ; 2626 (0) ; |radioberry|receiver:receiver_rx_inst ; receiver ; work ; +; |cic:cic_inst_I2| ; 247 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 13 (13) ; 52 (1) ; 182 (26) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2 ; cic ; work ; ; |cic_comb:cic_stages[0].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 24 (24) ; 26 (26) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[1].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 21 (21) ; 29 (29) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[2].cic_comb_inst| ; 42 (42) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 10 (10) ; 32 (32) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[2].cic_comb_inst| ; 42 (42) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 6 (6) ; 36 (36) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; -; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 26 (26) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 25 (25) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; -; |cic:cic_inst_Q2| ; 249 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (15) ; 60 (1) ; 174 (24) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2 ; cic ; work ; -; |cic_comb:cic_stages[0].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 24 (24) ; 26 (26) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[1].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 23 (23) ; 27 (27) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[2].cic_comb_inst| ; 43 (43) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 12 (12) ; 31 (31) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; +; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; +; |cic:cic_inst_Q2| ; 255 (40) ; 234 (17) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 17 (17) ; 55 (1) ; 183 (22) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2 ; cic ; work ; +; |cic_comb:cic_stages[0].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 22 (22) ; 28 (28) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[1].cic_comb_inst| ; 50 (50) ; 50 (50) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 33 (33) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[2].cic_comb_inst| ; 42 (42) ; 42 (42) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 15 (15) ; 27 (27) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; -; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 25 (25) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; -; |cordic:cordic_inst| ; 1080 (1080) ; 681 (681) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 374 (374) ; 37 (37) ; 669 (669) ; |radioberry|receiver:receiver_rx_inst|cordic:cordic_inst ; cordic ; work ; -; |firX8R8:fir2| ; 1337 (268) ; 1045 (61) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 292 (207) ; 355 (0) ; 690 (65) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2 ; firX8R8 ; work ; -; |fir256:A| ; 139 (139) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 16 (16) ; 57 (57) ; 66 (66) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A ; fir256 ; work ; +; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 26 (26) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 26 (26) ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; +; |cordic:cordic_inst| ; 1082 (1082) ; 681 (681) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 359 (359) ; 44 (44) ; 679 (679) ; |radioberry|receiver:receiver_rx_inst|cordic:cordic_inst ; cordic ; work ; +; |firX8R8:fir2| ; 1338 (273) ; 1045 (61) ; 0 (0) ; 110592 ; 16 ; 32 ; 0 ; 16 ; 0 ; 0 ; 293 (212) ; 378 (0) ; 667 (64) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2 ; firX8R8 ; work ; +; |fir256:A| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 48 (48) ; 75 (75) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2799,7 +2799,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:C| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 32 (32) ; 91 (91) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C ; fir256 ; work ; +; |fir256:C| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 46 (46) ; 77 (77) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2810,7 +2810,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:D| ; 132 (132) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 9 (9) ; 38 (38) ; 85 (85) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D ; fir256 ; work ; +; |fir256:D| ; 133 (133) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 10 (10) ; 51 (51) ; 72 (72) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2821,7 +2821,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:E| ; 133 (133) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 10 (10) ; 48 (48) ; 75 (75) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E ; fir256 ; work ; +; |fir256:E| ; 133 (133) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 10 (10) ; 51 (51) ; 72 (72) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2832,7 +2832,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:F| ; 130 (130) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 7 (7) ; 47 (47) ; 76 (76) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F ; fir256 ; work ; +; |fir256:F| ; 133 (133) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 10 (10) ; 35 (35) ; 88 (88) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2843,7 +2843,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:G| ; 139 (139) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 16 (16) ; 31 (31) ; 92 (92) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G ; fir256 ; work ; +; |fir256:G| ; 136 (136) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 13 (13) ; 47 (47) ; 76 (76) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2854,7 +2854,7 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |fir256:H| ; 131 (131) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 8 (8) ; 49 (49) ; 74 (74) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H ; fir256 ; work ; +; |fir256:H| ; 135 (135) ; 123 (123) ; 0 (0) ; 13824 ; 2 ; 4 ; 0 ; 2 ; 0 ; 0 ; 12 (12) ; 47 (47) ; 76 (76) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_pin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 9216 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated ; altsyncram_pin1 ; work ; @@ -2865,52 +2865,52 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |varcic:varcic_inst_I1| ; 710 (76) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 42 (42) ; 204 (0) ; 464 (34) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1 ; varcic ; work ; +; |varcic:varcic_inst_I1| ; 710 (76) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 43 (43) ; 194 (1) ; 473 (32) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1 ; varcic ; work ; ; |cic_comb:cic_stages[0].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 44 (44) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[1].cic_comb_inst| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 44 (44) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[2].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 44 (44) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[1].cic_comb_inst| ; 87 (87) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 37 (37) ; 50 (50) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[2].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 39 (39) ; 47 (47) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[3].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[4].cic_comb_inst| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 32 (32) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[3].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst ; cic_integrator ; work ; -; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 44 (44) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; -; |varcic:varcic_inst_Q1| ; 708 (74) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 203 (0) ; 464 (33) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1 ; varcic ; work ; -; |cic_comb:cic_stages[0].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 42 (42) ; 44 (44) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[1].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; +; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; +; |varcic:varcic_inst_Q1| ; 709 (75) ; 667 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 41 (41) ; 185 (1) ; 483 (33) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1 ; varcic ; work ; +; |cic_comb:cic_stages[0].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[1].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 36 (36) ; 50 (50) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[2].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[3].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 43 (43) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst ; cic_comb ; work ; -; |cic_comb:cic_stages[4].cic_comb_inst| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 31 (31) ; 44 (44) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[3].cic_comb_inst| ; 86 (86) ; 86 (86) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 39 (39) ; 47 (47) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst ; cic_comb ; work ; +; |cic_comb:cic_stages[4].cic_comb_inst| ; 75 (75) ; 75 (75) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 23 (23) ; 52 (52) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst ; cic_comb ; work ; ; |cic_integrator:cic_stages[0].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[3].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst ; cic_integrator ; work ; -; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 44 (44) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 1 (1) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; +; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 43 (43) ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; ; |reset_handler:reset_handler_inst| ; 33 (33) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 1 (1) ; 24 (24) ; |radioberry|reset_handler:reset_handler_inst ; reset_handler ; work ; -; |rxFIFO:rx1_FIFO_inst| ; 149 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 75 (0) ; 63 (0) ; |radioberry|rxFIFO:rx1_FIFO_inst ; rxFIFO ; work ; -; |dcfifo:dcfifo_component| ; 149 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 75 (0) ; 63 (0) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component ; dcfifo ; work ; -; |dcfifo_3rj1:auto_generated| ; 149 (29) ; 138 (30) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (0) ; 75 (16) ; 63 (8) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated ; dcfifo_3rj1 ; work ; +; |rxFIFO:rx1_FIFO_inst| ; 152 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 101 (0) ; 37 (0) ; |radioberry|rxFIFO:rx1_FIFO_inst ; rxFIFO ; work ; +; |dcfifo:dcfifo_component| ; 152 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 101 (0) ; 37 (0) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component ; dcfifo ; work ; +; |dcfifo_3rj1:auto_generated| ; 152 (32) ; 138 (30) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (2) ; 101 (21) ; 37 (3) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated ; dcfifo_3rj1 ; work ; ; |a_graycounter_qkc:wrptr_g1p| ; 19 (19) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 14 (14) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p ; a_graycounter_qkc ; work ; -; |a_graycounter_u67:rdptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 1 (1) ; 14 (14) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p ; a_graycounter_u67 ; work ; -; |alt_synch_pipe_epl:ws_dgrp| ; 80 (0) ; 80 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 58 (0) ; 22 (0) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp ; alt_synch_pipe_epl ; work ; -; |dffpipe_ve9:dffpipe8| ; 80 (80) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 58 (58) ; 22 (22) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8 ; dffpipe_ve9 ; work ; +; |a_graycounter_u67:rdptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 1 (1) ; 13 (13) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p ; a_graycounter_u67 ; work ; +; |alt_synch_pipe_epl:ws_dgrp| ; 80 (0) ; 80 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 79 (0) ; 1 (0) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp ; alt_synch_pipe_epl ; work ; +; |dffpipe_ve9:dffpipe8| ; 80 (80) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 79 (79) ; 1 (1) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8 ; dffpipe_ve9 ; work ; ; |altsyncram_rv61:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram ; altsyncram_rv61 ; work ; ; |cmpr_g76:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |radioberry|rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|cmpr_g76:wrempty_eq_comp ; cmpr_g76 ; work ; -; |rxFIFO:rx2_FIFO_inst| ; 147 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 97 (0) ; 41 (0) ; |radioberry|rxFIFO:rx2_FIFO_inst ; rxFIFO ; work ; -; |dcfifo:dcfifo_component| ; 147 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (0) ; 97 (0) ; 41 (0) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component ; dcfifo ; work ; -; |dcfifo_3rj1:auto_generated| ; 147 (30) ; 138 (30) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (1) ; 97 (16) ; 41 (3) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated ; dcfifo_3rj1 ; work ; -; |a_graycounter_qkc:wrptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 3 (3) ; 1 (1) ; 16 (16) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p ; a_graycounter_qkc ; work ; -; |a_graycounter_u67:rdptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 16 (16) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p ; a_graycounter_u67 ; work ; +; |rxFIFO:rx2_FIFO_inst| ; 153 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 104 (0) ; 34 (0) ; |radioberry|rxFIFO:rx2_FIFO_inst ; rxFIFO ; work ; +; |dcfifo:dcfifo_component| ; 153 (0) ; 138 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 104 (0) ; 34 (0) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component ; dcfifo ; work ; +; |dcfifo_3rj1:auto_generated| ; 153 (31) ; 138 (30) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (1) ; 104 (23) ; 34 (3) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated ; dcfifo_3rj1 ; work ; +; |a_graycounter_qkc:wrptr_g1p| ; 19 (19) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 15 (15) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p ; a_graycounter_qkc ; work ; +; |a_graycounter_u67:rdptr_g1p| ; 20 (20) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 1 (1) ; 13 (13) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p ; a_graycounter_u67 ; work ; ; |alt_synch_pipe_epl:ws_dgrp| ; 80 (0) ; 80 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 80 (0) ; 0 (0) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp ; alt_synch_pipe_epl ; work ; ; |dffpipe_ve9:dffpipe8| ; 80 (80) ; 80 (80) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 80 (80) ; 0 (0) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8 ; dffpipe_ve9 ; work ; ; |altsyncram_rv61:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 24576 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram ; altsyncram_rv61 ; work ; -; |cmpr_g76:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 6 (6) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|cmpr_g76:wrempty_eq_comp ; cmpr_g76 ; work ; -; |spi_slave:spi_slave_rx2_inst| ; 158 (158) ; 151 (151) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 57 (57) ; 94 (94) ; |radioberry|spi_slave:spi_slave_rx2_inst ; spi_slave ; work ; -; |spi_slave:spi_slave_rx_inst| ; 145 (145) ; 137 (137) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 62 (62) ; 75 (75) ; |radioberry|spi_slave:spi_slave_rx_inst ; spi_slave ; work ; -; |transmitter:transmitter_inst| ; 3697 (78) ; 2911 (76) ; 0 (0) ; 23040 ; 3 ; 4 ; 0 ; 2 ; 0 ; 0 ; 718 (1) ; 702 (31) ; 2277 (46) ; |radioberry|transmitter:transmitter_inst ; transmitter ; work ; -; |CicInterpM5:in2| ; 1679 (1679) ; 1610 (1610) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 69 (69) ; 600 (600) ; 1010 (1010) ; |radioberry|transmitter:transmitter_inst|CicInterpM5:in2 ; CicInterpM5 ; work ; -; |FirInterp8_1024:fi| ; 183 (183) ; 173 (173) ; 0 (0) ; 23040 ; 3 ; 4 ; 0 ; 2 ; 0 ; 0 ; 10 (10) ; 52 (52) ; 121 (121) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi ; FirInterp8_1024 ; work ; +; |cmpr_g76:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 3 (3) ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|cmpr_g76:wrempty_eq_comp ; cmpr_g76 ; work ; +; |spi_slave:spi_slave_rx2_inst| ; 158 (158) ; 151 (151) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 68 (68) ; 83 (83) ; |radioberry|spi_slave:spi_slave_rx2_inst ; spi_slave ; work ; +; |spi_slave:spi_slave_rx_inst| ; 145 (145) ; 137 (137) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 65 (65) ; 74 (74) ; |radioberry|spi_slave:spi_slave_rx_inst ; spi_slave ; work ; +; |transmitter:transmitter_inst| ; 3712 (106) ; 2938 (103) ; 0 (0) ; 23040 ; 3 ; 4 ; 0 ; 2 ; 0 ; 0 ; 727 (2) ; 672 (30) ; 2313 (74) ; |radioberry|transmitter:transmitter_inst ; transmitter ; work ; +; |CicInterpM5:in2| ; 1679 (1679) ; 1610 (1610) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 53 (53) ; 573 (573) ; 1053 (1053) ; |radioberry|transmitter:transmitter_inst|CicInterpM5:in2 ; CicInterpM5 ; work ; +; |FirInterp8_1024:fi| ; 183 (183) ; 173 (173) ; 0 (0) ; 23040 ; 3 ; 4 ; 0 ; 2 ; 0 ; 0 ; 9 (9) ; 51 (51) ; 123 (123) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi ; FirInterp8_1024 ; work ; ; |firram36I_1024:ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 4608 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|firram36I_1024:ram ; firram36I_1024 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 4608 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|firram36I_1024:ram|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_jin1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 4608 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|firram36I_1024:ram|altsyncram:altsyncram_component|altsyncram_jin1:auto_generated ; altsyncram_jin1 ; work ; @@ -2921,13 +2921,13 @@ Note: Pin directions (input, output or bidir) are based on device operating in u ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult0|mult_56t:auto_generated ; mult_56t ; work ; ; |lpm_mult:Mult1| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult1 ; lpm_mult ; work ; ; |mult_56t:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult1|mult_56t:auto_generated ; mult_56t ; work ; -; |cpl_cordic:cordic_inst| ; 1757 (1757) ; 1051 (1051) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 638 (638) ; 19 (19) ; 1100 (1100) ; |radioberry|transmitter:transmitter_inst|cpl_cordic:cordic_inst ; cpl_cordic ; work ; -; |pulsegen:pulse_inst| ; 1 (1) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 1 (1) ; |radioberry|transmitter:transmitter_inst|pulsegen:pulse_inst ; pulsegen ; work ; -; |txFIFO:txFIFO_inst| ; 106 (0) ; 92 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 46 (0) ; 46 (0) ; |radioberry|txFIFO:txFIFO_inst ; txFIFO ; work ; -; |dcfifo:dcfifo_component| ; 106 (0) ; 92 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 46 (0) ; 46 (0) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component ; dcfifo ; work ; -; |dcfifo_ngk1:auto_generated| ; 106 (36) ; 92 (36) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 14 (0) ; 46 (29) ; 46 (4) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated ; dcfifo_ngk1 ; work ; -; |a_graycounter_077:rdptr_g1p| ; 25 (25) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 0 (0) ; 18 (18) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p ; a_graycounter_077 ; work ; -; |a_graycounter_skc:wrptr_g1p| ; 22 (22) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 6 (6) ; 0 (0) ; 16 (16) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p ; a_graycounter_skc ; work ; +; |cpl_cordic:cordic_inst| ; 1746 (1746) ; 1051 (1051) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 663 (663) ; 18 (18) ; 1065 (1065) ; |radioberry|transmitter:transmitter_inst|cpl_cordic:cordic_inst ; cpl_cordic ; work ; +; |pulsegen:pulse_inst| ; 2 (2) ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 2 (2) ; |radioberry|transmitter:transmitter_inst|pulsegen:pulse_inst ; pulsegen ; work ; +; |txFIFO:txFIFO_inst| ; 109 (0) ; 92 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 46 (0) ; 48 (0) ; |radioberry|txFIFO:txFIFO_inst ; txFIFO ; work ; +; |dcfifo:dcfifo_component| ; 109 (0) ; 92 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (0) ; 46 (0) ; 48 (0) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component ; dcfifo ; work ; +; |dcfifo_ngk1:auto_generated| ; 109 (37) ; 92 (36) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 15 (1) ; 46 (29) ; 48 (4) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated ; dcfifo_ngk1 ; work ; +; |a_graycounter_077:rdptr_g1p| ; 24 (24) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 16 (16) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p ; a_graycounter_077 ; work ; +; |a_graycounter_skc:wrptr_g1p| ; 22 (22) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 0 (0) ; 17 (17) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p ; a_graycounter_skc ; work ; ; |alt_synch_pipe_apl:rs_dgwp| ; 24 (0) ; 24 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (0) ; 7 (0) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp ; alt_synch_pipe_apl ; work ; ; |dffpipe_re9:dffpipe10| ; 24 (24) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 17 (17) ; 7 (7) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10 ; dffpipe_re9 ; work ; ; |altsyncram_v171:fifo_ram| ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |radioberry|txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram ; altsyncram_v171 ; work ; @@ -2969,17 +2969,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; key_dash_rpi ; Output ; -- ; -- ; -- ; -- ; -- ; ; cw_ptt ; Output ; -- ; -- ; -- ; -- ; -- ; ; ad9866_adio[0] ; Bidir ; (4) 938 ps ; -- ; -- ; -- ; -- ; -; ad9866_adio[1] ; Bidir ; (3) 742 ps ; -- ; -- ; -- ; -- ; -; ad9866_adio[2] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; -; ad9866_adio[3] ; Bidir ; (4) 938 ps ; -- ; -- ; -- ; -- ; -; ad9866_adio[4] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; ad9866_adio[5] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ad9866_adio[6] ; Bidir ; -- ; (5) 1119 ps ; -- ; -- ; -- ; -; ad9866_adio[7] ; Bidir ; (5) 1119 ps ; -- ; -- ; -- ; -- ; -; ad9866_adio[8] ; Bidir ; (5) 1119 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[1] ; Bidir ; (5) 1119 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[3] ; Bidir ; (5) 1119 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[4] ; Bidir ; (6) 1314 ps ; (5) 1119 ps ; -- ; -- ; -- ; +; ad9866_adio[5] ; Bidir ; (4) 938 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[6] ; Bidir ; (4) 938 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[7] ; Bidir ; (4) 938 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[8] ; Bidir ; (4) 938 ps ; -- ; -- ; -- ; -- ; ; ad9866_adio[9] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; ad9866_adio[10] ; Bidir ; (4) 938 ps ; -- ; -- ; -- ; -- ; -; ad9866_adio[11] ; Bidir ; -- ; (5) 1119 ps ; -- ; -- ; -- ; +; ad9866_adio[10] ; Bidir ; (3) 742 ps ; -- ; -- ; -- ; -- ; +; ad9866_adio[11] ; Bidir ; -- ; (3) 742 ps ; -- ; -- ; -- ; ; ptt_in ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; ad9866_clk ; Input ; (0) 0 ps ; (0) 0 ps ; -- ; -- ; -- ; ; KEY_DOT ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; @@ -2999,41 +2999,41 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Source Pin / Fanout ; Pad To Core Index ; Setting ; +-------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ ; ad9866_adio[0] ; ; ; -; - adcpipe[1][0] ; 0 ; 4 ; ; - adcpipe[0][0] ; 0 ; 4 ; +; - adcpipe[1][0]~feeder ; 0 ; 4 ; ; ad9866_adio[1] ; ; ; -; - adcpipe[1][1] ; 0 ; 3 ; -; - adcpipe[0][1]~feeder ; 0 ; 3 ; +; - adcpipe[1][1] ; 0 ; 5 ; +; - adcpipe[0][1]~feeder ; 0 ; 5 ; ; ad9866_adio[2] ; ; ; -; - adcpipe[0][2]~feeder ; 1 ; 6 ; +; - adcpipe[0][2]~feeder ; 0 ; 6 ; ; - adcpipe[1][2]~feeder ; 0 ; 6 ; ; ad9866_adio[3] ; ; ; -; - adcpipe[1][3] ; 0 ; 4 ; -; - adcpipe[0][3] ; 0 ; 4 ; +; - adcpipe[0][3] ; 0 ; 5 ; +; - adcpipe[1][3]~feeder ; 0 ; 5 ; ; ad9866_adio[4] ; ; ; -; - adcpipe[1][4] ; 0 ; 6 ; -; - adcpipe[0][4] ; 0 ; 6 ; +; - adcpipe[1][4] ; 1 ; 5 ; +; - adcpipe[0][4]~feeder ; 0 ; 6 ; ; ad9866_adio[5] ; ; ; -; - adcpipe[1][5] ; 1 ; 6 ; -; - adcpipe[0][5] ; 1 ; 6 ; +; - adcpipe[1][5] ; 0 ; 4 ; +; - adcpipe[0][5] ; 0 ; 4 ; ; ad9866_adio[6] ; ; ; -; - adcpipe[0][6]~feeder ; 1 ; 5 ; -; - adcpipe[1][6]~feeder ; 1 ; 5 ; +; - adcpipe[1][6] ; 0 ; 4 ; +; - adcpipe[0][6]~feeder ; 0 ; 4 ; ; ad9866_adio[7] ; ; ; -; - adcpipe[1][7] ; 0 ; 5 ; -; - adcpipe[0][7] ; 0 ; 5 ; +; - adcpipe[0][7] ; 0 ; 4 ; +; - adcpipe[1][7]~feeder ; 0 ; 4 ; ; ad9866_adio[8] ; ; ; -; - adcpipe[1][8]~feeder ; 0 ; 5 ; -; - adcpipe[0][8]~feeder ; 0 ; 5 ; +; - adcpipe[1][8]~feeder ; 0 ; 4 ; +; - adcpipe[0][8]~feeder ; 0 ; 4 ; ; ad9866_adio[9] ; ; ; ; - adcpipe[1][9] ; 1 ; 6 ; ; - adcpipe[0][9] ; 1 ; 6 ; ; ad9866_adio[10] ; ; ; -; - adcpipe[0][10] ; 0 ; 4 ; -; - adcpipe[1][10]~feeder ; 0 ; 4 ; +; - adcpipe[1][10]~feeder ; 0 ; 3 ; +; - adcpipe[0][10]~feeder ; 0 ; 3 ; ; ad9866_adio[11] ; ; ; -; - adcpipe[1][11]~feeder ; 1 ; 5 ; -; - adcpipe[0][11]~feeder ; 1 ; 5 ; +; - adcpipe[0][11]~feeder ; 1 ; 3 ; +; - adcpipe[1][11]~feeder ; 1 ; 3 ; ; ptt_in ; ; ; ; - ad9866_adio[0]~output ; 0 ; 6 ; ; - ad9866_adio[1]~output ; 0 ; 6 ; @@ -3069,6 +3069,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24 ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28 ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28 ; 0 ; 6 ; +; - transmitter:transmitter_inst|LED~0 ; 0 ; 6 ; ; - rx_gain[0] ; 0 ; 6 ; ; - rx_gain[1] ; 0 ; 6 ; ; - rx_gain[2] ; 0 ; 6 ; @@ -3134,8 +3135,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; 0 ; 6 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; 0 ; 6 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; 0 ; 6 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; 0 ; 6 ; @@ -3176,10 +3177,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; 0 ; 6 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; 0 ; 6 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; 0 ; 6 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 0 ; 6 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; 0 ; 6 ; @@ -3188,8 +3189,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; 0 ; 6 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; 0 ; 6 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; 0 ; 6 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 0 ; 6 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; 0 ; 6 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 0 ; 6 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; 0 ; 6 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; 0 ; 6 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; 0 ; 6 ; @@ -3215,65 +3216,65 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firromH:rom|altsyncram:altsyncram_component|altsyncram_ca91:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firromH:rom|altsyncram:altsyncram_component|altsyncram_ea91:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firromH:rom|altsyncram:altsyncram_component|altsyncram_fa91:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firromH:rom|altsyncram:altsyncram_component|altsyncram_fa91:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firromH:rom|altsyncram:altsyncram_component|altsyncram_ga91:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firromH:rom|altsyncram:altsyncram_component|altsyncram_ha91:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firromH:rom|altsyncram:altsyncram_component|altsyncram_ha91:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firromH:rom|altsyncram:altsyncram_component|altsyncram_ca91:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firromH:rom|altsyncram:altsyncram_component|altsyncram_ea91:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firromH:rom|altsyncram:altsyncram_component|altsyncram_ea91:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firromH:rom|altsyncram:altsyncram_component|altsyncram_fa91:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firromH:rom|altsyncram:altsyncram_component|altsyncram_ga91:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firromH:rom|altsyncram:altsyncram_component|altsyncram_ga91:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firromH:rom|altsyncram:altsyncram_component|altsyncram_ha91:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0 ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12 ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30 ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0 ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12 ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30 ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0 ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12 ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30 ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24 ; 1 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28 ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|firromI_1024:rom|altsyncram:altsyncram_component|altsyncram_23b1:auto_generated|ram_block1a0 ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|firromI_1024:rom|altsyncram:altsyncram_component|altsyncram_23b1:auto_generated|ram_block1a9 ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|firram36I_1024:ram|altsyncram:altsyncram_component|altsyncram_jin1:auto_generated|ram_block1a0 ; 1 ; 0 ; @@ -3281,51 +3282,51 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[34] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[33] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[32] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[26] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[34] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[33] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[33] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[32] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[31] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[30] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[25] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[35] ; 0 ; 0 ; @@ -3335,54 +3336,54 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[28] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[26] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[32] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Rmult[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[34] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[34] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[33] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[31] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[28] ; 0 ; 0 ; @@ -3391,92 +3392,92 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[25] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Rmult[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[34] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[33] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[32] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[30] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[30] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[27] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[35] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[34] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[33] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[32] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[30] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[35] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[34] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[35] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[34] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[33] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[32] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[30] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[29] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[31] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[30] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[29] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[28] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Rmult[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Rmult[34] ; 0 ; 0 ; @@ -3503,69 +3504,69 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Rmult[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Rmult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Rmult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Rmult[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Rmult[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[31] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[20] ; 0 ; 0 ; @@ -3578,32 +3579,32 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Rmult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Rmult[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[34] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[32] ; 1 ; 0 ; @@ -3612,10 +3613,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[29] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[27] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[20] ; 1 ; 0 ; @@ -3628,35 +3629,35 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Rmult[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[29] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[18] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Rmult[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[32] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[29] ; 0 ; 0 ; @@ -3664,145 +3665,145 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[26] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[33] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[32] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[29] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[29] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[28] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[25] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[34] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Imult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[34] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[33] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[32] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[30] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[30] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[25] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Imult[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[35] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[35] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[34] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[33] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[32] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[28] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[26] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[25] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Imult[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[35] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[34] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[33] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[30] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[35] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[33] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[33] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[29] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[29] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[28] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Imult[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[35] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[34] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[33] ; 0 ; 0 ; @@ -3817,17 +3818,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Imult[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[35] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[34] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[33] ; 0 ; 0 ; @@ -3842,80 +3843,80 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[31] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Imult[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[32] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[25] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[28] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[26] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[25] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[20] ; 0 ; 0 ; @@ -3928,44 +3929,44 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Imult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[18] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Imult[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[30] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[20] ; 0 ; 0 ; @@ -3978,43 +3979,43 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Imult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[34] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[31] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[29] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[25] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[21] ; 0 ; 0 ; @@ -4028,9 +4029,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Imult[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[30] ; 0 ; 0 ; @@ -4039,20 +4040,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[26] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[33] ; 0 ; 0 ; @@ -4066,62 +4067,68 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[25] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Imult[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[32] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[30] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[23] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Imult[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|sample_no[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|sample_no[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|sample_no[2] ; 1 ; 0 ; @@ -4166,60 +4173,62 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[17][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|counter[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|counter[3] ; 0 ; 0 ; @@ -4235,20 +4244,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|counter[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|counter[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|counter[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[4] ; 1 ; 0 ; @@ -4270,22 +4279,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|counter[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|counter[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|counter[4] ; 1 ; 0 ; @@ -4300,13 +4309,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|counter[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|counter[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|counter[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|counter[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|counter[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|counter[4] ; 0 ; 0 ; @@ -4328,13 +4337,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|counter[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|counter[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|counter[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[4] ; 0 ; 0 ; @@ -4342,165 +4351,168 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[16][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[16][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[16][2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|counter[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[16][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[16][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[16][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[17][21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[18][6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|counter[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|counter[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|counter[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|counter[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|counter[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|counter[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|counter[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|counter[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|counter[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|counter[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[17][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[17][19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|waddr[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|waddr[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|waddr[3] ; 0 ; 0 ; @@ -4508,45 +4520,45 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|waddr[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|waddr[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|waddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[2] ; 1 ; 0 ; @@ -4555,38 +4567,38 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|caddr[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[2] ; 0 ; 0 ; @@ -4595,14 +4607,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|raddr[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|raddr[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|raddr[2] ; 0 ; 0 ; @@ -4619,22 +4631,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|caddr[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|caddr[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|caddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|caddr[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|raddr[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|raddr[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|raddr[2] ; 0 ; 0 ; @@ -4652,22 +4664,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|caddr[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|caddr[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[2] ; 1 ; 0 ; @@ -4676,29 +4688,29 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|raddr[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|raddr[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|raddr[2] ; 1 ; 0 ; @@ -4715,14 +4727,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|caddr[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|caddr[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|caddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|caddr[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|caddr[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|caddr[2] ; 0 ; 0 ; @@ -4763,14 +4775,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|caddr[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|caddr[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|caddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[2] ; 0 ; 0 ; @@ -4779,14 +4791,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[2] ; 1 ; 0 ; @@ -4795,14 +4807,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[2] ; 1 ; 0 ; @@ -4811,45 +4823,46 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[17][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[37] ; 1 ; 0 ; @@ -4884,68 +4897,69 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[18] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[18] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[42] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|out_data[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[12][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[17][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][11] ; 1 ; 0 ; @@ -4958,14 +4972,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[37] ; 1 ; 0 ; @@ -5000,14 +5014,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[32] ; 1 ; 0 ; @@ -5038,53 +5052,54 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[11][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[37] ; 1 ; 0 ; @@ -5120,34 +5135,34 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[36] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[34] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[39] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[20] ; 1 ; 0 ; @@ -5158,9 +5173,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][7] ; 1 ; 0 ; @@ -5171,26 +5187,26 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][13] ; 1 ; 0 ; @@ -5201,14 +5217,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[37] ; 1 ; 0 ; @@ -5245,48 +5261,49 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[42] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][8] ; 1 ; 0 ; @@ -5295,248 +5312,249 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[17][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[30] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[29] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[40] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[16][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[40] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[36] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[34] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[39] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[20] ; 0 ; 0 ; @@ -5549,21 +5567,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][14] ; 1 ; 0 ; @@ -5571,90 +5590,90 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[32] ; 0 ; 0 ; @@ -5685,97 +5704,98 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[36] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[34] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[39] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 1 ; 0 ; @@ -5789,20 +5809,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[32] ; 0 ; 0 ; @@ -5833,14 +5853,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[5][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[5][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[5][14] ; 0 ; 0 ; @@ -5856,194 +5877,195 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[5][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[5][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[5][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[40] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[12][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][10] ; 0 ; 0 ; @@ -6056,84 +6078,84 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[30] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[29] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[42] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[39] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[38] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 0 ; 0 ; @@ -6146,209 +6168,211 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[11][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|counter[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[10][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[24] ; 0 ; 0 ; @@ -6357,14 +6381,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][1] ; 0 ; 0 ; @@ -6384,351 +6409,353 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[9][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[8][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[29] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[29] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[30] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[7][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[6][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[50] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[49] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[48] ; 1 ; 0 ; @@ -6745,75 +6772,75 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[39] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[39] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[1][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[5][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[8] ; 0 ; 0 ; @@ -6822,7 +6849,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y4[49] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s4[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y4[48] ; 1 ; 0 ; @@ -6839,233 +6866,233 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|s4[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y4[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s4[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[39] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[38] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[39] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[38] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[2][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[4][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Racc[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Racc[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[45] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[39] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[38] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[37] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[39] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[38] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[36] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[36] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[1][4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[3][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Raccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Raccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Raccum[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Raccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Raccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Raccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Raccum[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Raccum[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Raccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Raccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[50] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[16][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[49] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[48] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[47] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[46] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[45] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[45] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[44] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[43] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[42] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[39] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[38] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[39] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[38] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[37] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[39] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[40] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[41] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[36] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[34] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[36] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[36] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[35] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[36] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[2][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[22] ; 0 ; 0 ; @@ -7073,218 +7100,218 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[15][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[45] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[38] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[38] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[37] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[39] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[38] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[40] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[40] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[41] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[36] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[34] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[36] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[35] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[33] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[11][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[11][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[11][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[11][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[11][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[11][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[11][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[11][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[50] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[50] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[50] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[50] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[14][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[49] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[48] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[47] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[46] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[45] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[44] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[43] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[42] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[42] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[49] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[48] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[47] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[46] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[45] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[44] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[43] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[42] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[39] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[37] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[39] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[39] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[36] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[34] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[36] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[35] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[33] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[36] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][2] ; 1 ; 0 ; @@ -7293,78 +7320,78 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[13][0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[45] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[39] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[38] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[38] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[12][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[11][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[10][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[9][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[8][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[7][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[6][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[5][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[4][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[3][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[36] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[34] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[35] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[33] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[31] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[35] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[34] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[31] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[31] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][5] ; 1 ; 0 ; @@ -7372,110 +7399,110 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[42] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[39] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[41] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[36] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[35] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[31] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[33] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[31] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[30] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[35] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[34] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|out_data[13] ; 0 ; 0 ; @@ -7500,49 +7527,49 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[8][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[8][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[8][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[8][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[8][1] ; 0 ; 0 ; @@ -7552,7 +7579,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[8][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[8][4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[8][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][16] ; 0 ; 0 ; @@ -7560,13 +7587,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 0 ; 0 ; @@ -7592,39 +7619,39 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|q2[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[39] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[38] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[37] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[36] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[36] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[35] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[34] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[31] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[29] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[31] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[29] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[33] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[32] ; 0 ; 0 ; @@ -7655,8 +7682,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[7][0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[7][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[7][8] ; 1 ; 0 ; @@ -7666,66 +7693,66 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[7][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[7][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[7][6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[18] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[42] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[7][0] ; 0 ; 0 ; @@ -7737,9 +7764,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[7][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[7][4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[7][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][15] ; 0 ; 0 ; @@ -7747,103 +7774,103 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[50] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[49] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[48] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[47] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[46] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[45] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[45] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[44] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[43] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x1[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x1[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[39] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[38] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[37] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[41] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[36] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[35] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[34] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[33] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[31] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[29] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[28] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[33] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[31] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[29] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[33] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[32] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[31] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[34] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[39] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[6][9] ; 0 ; 0 ; @@ -7854,58 +7881,58 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[6][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[6][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[6][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[20] ; 1 ; 0 ; @@ -7916,8 +7943,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][7] ; 0 ; 0 ; @@ -7926,11 +7953,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][14] ; 0 ; 0 ; @@ -7938,98 +7965,98 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[38] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[37] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[36] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[35] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[34] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[33] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[32] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[29] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[28] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[27] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[29] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[27] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[31] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|sample_no[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[34] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[39] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][9] ; 1 ; 0 ; @@ -8040,56 +8067,56 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|sample_no[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[42] ; 0 ; 0 ; @@ -8119,24 +8146,24 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][13] ; 0 ; 0 ; @@ -8144,52 +8171,52 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[37] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[36] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[35] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[32] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[31] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[29] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[28] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[27] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y5[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[31] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[29] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[27] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y5[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[31] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[30] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[29] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[32] ; 1 ; 0 ; @@ -8222,7 +8249,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][11] ; 0 ; 0 ; @@ -8237,73 +8264,73 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[39] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][9] ; 1 ; 0 ; @@ -8314,15 +8341,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][12] ; 0 ; 0 ; @@ -8330,52 +8357,52 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[35] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[33] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[31] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[30] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[28] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[27] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[27] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[26] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[30] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[29] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[28] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[32] ; 1 ; 0 ; @@ -8409,7 +8436,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][12] ; 0 ; 0 ; @@ -8423,77 +8450,77 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[39] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][12] ; 1 ; 0 ; @@ -8507,17 +8534,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][11] ; 0 ; 0 ; @@ -8525,172 +8552,172 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[33] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[31] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[30] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[29] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[27] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[27] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[25] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[29] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[29] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[28] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[27] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[42] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][10] ; 1 ; 0 ; @@ -8703,19 +8730,19 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][10] ; 0 ; 0 ; @@ -8723,158 +8750,158 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[31] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[29] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[28] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[24] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[27] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[26] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[25] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[40] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[38] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[20] ; 1 ; 0 ; @@ -8885,13 +8912,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][12] ; 1 ; 0 ; @@ -8903,91 +8930,91 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[31] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[30] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[29] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[28] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[27] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[23] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[27] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[27] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[26] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 0 ; 0 ; @@ -8998,133 +9025,133 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[2] ; 1 ; 0 ; @@ -9132,46 +9159,46 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[30] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[29] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[28] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[27] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[26] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[32] ; 0 ; 0 ; @@ -9204,14 +9231,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[28] ; 0 ; 0 ; @@ -9228,168 +9255,168 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[30] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[29] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[27] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q4[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q4[26] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[23] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[32] ; 0 ; 0 ; @@ -9423,204 +9450,204 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[25] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[34] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[39] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[28] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[27] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x3[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[28] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[26] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[23] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[14][0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[8] ; 1 ; 0 ; @@ -9628,76 +9655,76 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[14][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[27] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[27] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[23] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[22] ; 0 ; 0 ; @@ -9705,48 +9732,48 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[13][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[13][0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[7] ; 0 ; 0 ; @@ -9757,75 +9784,75 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[13][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[26] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[23] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[22] ; 1 ; 0 ; @@ -9834,21 +9861,21 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][7] ; 0 ; 0 ; @@ -9858,20 +9885,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[12][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[12][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[6] ; 1 ; 0 ; @@ -9884,97 +9911,97 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[12][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[12][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[23] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][5] ; 0 ; 0 ; @@ -9982,119 +10009,119 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[11][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[11][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[11][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[11][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][4] ; 0 ; 0 ; @@ -10102,115 +10129,115 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[10][0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[10][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[18] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[10][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[10][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][3] ; 0 ; 0 ; @@ -10218,111 +10245,111 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[9][0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[9][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[9][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[9][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][2] ; 0 ; 0 ; @@ -10330,12 +10357,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[8][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[8][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[2] ; 1 ; 0 ; @@ -10344,200 +10371,200 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[8][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[8][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|Iacc[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[7][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[7][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|Iacc[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[7][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[7][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[6][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[6][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Iaccum[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Iaccum[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Iaccum[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 0 ; 0 ; @@ -10545,220 +10572,220 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[6][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[6][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s5[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s5[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|X[0][5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[1][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[1][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[5][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[5][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[1][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[1][4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[5][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[5][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[2][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[2][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[4][0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[4][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[2][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[2][2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[4][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[4][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_real[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|y_imag[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y3[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y3[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[3][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|X[3][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[3][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|X[3][0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y2[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y2[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s2[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[7] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|out_data[8] ; 0 ; 0 ; @@ -10766,413 +10793,413 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Raccum[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|Iaccum[0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y1[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y1[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s1[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[6] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|phase[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|phase[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x5[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x5[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q5[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x4[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x4[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x2[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x2[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y5[1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[6] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s5[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|y4[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|y4[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|s3[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s4[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s4[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y3[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s3[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s3[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y2[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s2[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s2[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|out_data[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|y1[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|s1[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|s1[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|out_data[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x5[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q5[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q5[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x4[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q4[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[14][0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x3[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q2[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q3[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x3[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q2[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q3[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x2[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q1[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q1[2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q2[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x1[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q1[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][14] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][5] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; 0 ; 0 ; @@ -11185,10 +11212,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; 1 ; 0 ; @@ -11196,7 +11223,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[10] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[11] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[11] ; 0 ; 0 ; @@ -11209,10 +11236,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[9] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[9] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[8] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[4] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[5] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[5] ; 0 ; 0 ; @@ -11221,36 +11248,37 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[3] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|counter[0] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|wstate[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|wstate[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|wstate[4] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[0] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[0] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[4] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[4] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[5] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[5] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|wstate[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|wstate[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|wstate[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[0] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; 1 ; 0 ; @@ -11259,10 +11287,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[9] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; 1 ; 0 ; @@ -11270,128 +11298,128 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[2] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; 1 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|req ; 0 ; 0 ; ; - transmitter:transmitter_inst|pulsegen:pulse_inst|p1 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[11] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[10] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[1] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[0] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[9] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[8] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[6] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[7] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[7] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[6] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[5] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[4] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[3] ; 0 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|parity5 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[4] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[4] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|parity5 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[0] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[1] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[9] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; 0 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[2] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; 1 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|parity4 ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd3 ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|parity4 ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd3 ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd4 ; 0 ; 0 ; -; - transmitter:transmitter_inst|out_data[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|out_data[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|out_data[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|out_data[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|out_data[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|out_data[4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|out_data[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|out_data[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|out_data[6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|out_data[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|out_data[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|out_data[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|out_data[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|out_data[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|out_data[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|out_data[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|out_data[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|out_data[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|out_data[13] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[1] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|out_strobe ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|out_strobe ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[4] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[5] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[4] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[5] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[2] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[1] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|out_strobe ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|out_strobe ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[0] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[1] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[9] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; 0 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; 1 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|sub_parity5a[2] ; 0 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|sub_parity5a[1] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; 0 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|sub_parity5a[2] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|sub_parity5a[1] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|sub_parity5a[0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd2 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[15] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd2 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|sample_no[15] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|sample_no[2] ; 1 ; 0 ; @@ -11414,117 +11442,117 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[1] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; 0 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd1 ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[8] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd1 ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[4] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[4] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[0] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[1] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; 0 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rDone ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[17][2] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[17][2] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[0] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[1] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[9] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; 0 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[16][0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[4] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[4] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[0] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[1] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[9] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; 0 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[4] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddrB ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|req ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|req ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[0] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[1] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[0] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[1] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[9] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[7] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[6] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[4] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[7] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[4] ; 0 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; 0 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[2] ; 0 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[2] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[3] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[0] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[1] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[9] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[6] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[7] ; 0 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[6] ; 0 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[4] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; 0 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[2] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddrA ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|counter[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][0] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddr ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|counter[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][0] ; 1 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddr ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[11] ; 1 ; 0 ; @@ -11539,37 +11567,37 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[25] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[36] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[9] ; 0 ; 0 ; @@ -11587,44 +11615,44 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[26] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[25] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[30] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[34] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[16] ; 1 ; 0 ; @@ -11644,20 +11672,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[28] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[29] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[32] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[41] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[9] ; 1 ; 0 ; @@ -11673,49 +11701,49 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[25] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[33] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[36] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[38] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[40] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 1 ; 0 ; @@ -11734,16 +11762,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[32] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[34] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[39] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[42] ; 0 ; 0 ; @@ -11790,70 +11818,70 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[42] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[30] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[41] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 1 ; 0 ; @@ -11870,20 +11898,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[30] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[31] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[34] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[39] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[42] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 0 ; 0 ; @@ -11897,150 +11925,150 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[28] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[29] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[33] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[28] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[29] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[30] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[35] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[36] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[39] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[42] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[4][0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][1] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][3] ; 1 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][0] ; 0 ; 0 ; @@ -12048,11 +12076,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 0 ; 0 ; @@ -12064,107 +12092,107 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[13][2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[13][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[47] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[49] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[47] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[42] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[39] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[36] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[36] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[33] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[32] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[31] ; 0 ; 0 ; @@ -12173,22 +12201,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[28] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[27] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[25] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[7] ; 0 ; 0 ; @@ -12199,46 +12227,46 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq4[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[49] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[49] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[46] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[45] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[44] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[45] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[44] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[39] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[39] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[36] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[32] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[31] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[32] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[31] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[27] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[23] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[22] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[19] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[16] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[7] ; 0 ; 0 ; @@ -12250,13 +12278,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dx4[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[11][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[11][0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[47] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[47] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[41] ; 0 ; 0 ; @@ -12271,28 +12299,28 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[31] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[29] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[28] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[27] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[5] ; 0 ; 0 ; @@ -12301,22 +12329,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq3[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[49] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[48] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[49] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[48] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[46] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[45] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[43] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[43] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[39] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[39] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[38] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[37] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[36] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[36] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[33] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[31] ; 1 ; 0 ; @@ -12328,195 +12356,195 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[25] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[24] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[18] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[11] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx3[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[50] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[49] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[41] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[37] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[36] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[31] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[28] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[28] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[27] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[26] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[25] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[24] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[25] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[17] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[12] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[9] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[4] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[3] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[3] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq2[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[49] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[49] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[42] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[43] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[42] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[41] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[39] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[38] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[37] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[36] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[33] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[31] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[31] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[29] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[28] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[27] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[26] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[26] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[25] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[23] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[22] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[23] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[9] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx2[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[49] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[49] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[48] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[47] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[46] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[44] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[44] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[43] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[42] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[41] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[41] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[40] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[39] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[37] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[36] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[35] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[34] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[33] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[32] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[31] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[30] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[29] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[33] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[32] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[31] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[30] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[29] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[28] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[27] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[27] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[24] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[24] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[20] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[19] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[15] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[13] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[13] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[1] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq1[1] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[50] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[49] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[45] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[45] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[43] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[40] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[39] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[37] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[36] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[35] ; 1 ; 0 ; @@ -12529,22 +12557,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[27] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[26] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[25] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[24] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[22] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[25] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[23] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[21] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[19] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[18] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[17] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[16] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[18] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[17] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[16] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[7] ; 0 ; 0 ; @@ -12555,39 +12583,39 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx1[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[50] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[49] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[50] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[49] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[49] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[48] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[48] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[48] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[47] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[47] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[46] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[44] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[44] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[46] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[44] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[44] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[43] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[43] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[42] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[41] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[40] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[43] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[42] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[41] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[40] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[40] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[39] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[39] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[38] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[36] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[36] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[34] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[36] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[36] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[34] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[33] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[33] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[32] ; 0 ; 0 ; @@ -12613,44 +12641,44 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[22] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[21] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[20] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[14] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[12] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[11] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[10] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[8] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[7] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[6] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[4] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|q0[2] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|q0[2] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|q0[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dq0[1] ; 0 ; 0 ; @@ -12659,13 +12687,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[49] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[48] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[48] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[48] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[47] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[47] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[47] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[46] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[45] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[45] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[45] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[44] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[43] ; 0 ; 0 ; @@ -12674,22 +12702,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[42] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[41] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[41] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[40] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[39] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[38] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[37] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[36] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[36] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[35] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[34] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[33] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[33] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[40] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[39] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[38] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[37] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[36] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[36] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[35] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[34] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[33] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[33] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[32] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[31] ; 1 ; 0 ; @@ -12714,41 +12742,41 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[21] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[21] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[20] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[20] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[19] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[18] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[17] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[16] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[15] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[14] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[14] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[13] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[13] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[11] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[9] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[8] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[7] ; 1 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[8] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[6] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[5] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|CicInterpM5:in2|x0[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|CicInterpM5:in2|x0[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|x0[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|CicInterpM5:in2|dx0[2] ; 0 ; 0 ; @@ -12757,19 +12785,19 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[6][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[6][0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[6][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[10] ; 0 ; 0 ; @@ -12784,60 +12812,60 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_strobe ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[29] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[30] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[40] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[8] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|out_strobe ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[7] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|out_strobe ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[25] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[28] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[31] ; 0 ; 0 ; @@ -12863,20 +12891,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[26] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[26] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[23] ; 1 ; 0 ; @@ -12884,8 +12912,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[27] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[31] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[32] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[34] ; 1 ; 0 ; @@ -12898,17 +12926,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[20] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[18] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[10] ; 0 ; 0 ; @@ -12938,39 +12966,39 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[36] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[39] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[40] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[41] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[25] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[28] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[30] ; 0 ; 0 ; @@ -12978,58 +13006,58 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[32] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[37] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[29] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[29] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[31] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[32] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[39] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[38] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[2].cic_comb_inst|prev_data[42] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 0 ; 0 ; @@ -13039,9 +13067,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 0 ; 0 ; @@ -13050,127 +13078,127 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[27] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[30] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[34] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[35] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[38] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[33] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[34] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[36] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[38] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[40] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[42] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[42] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[25] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[28] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[31] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[29] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[31] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[33] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[35] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[34] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[35] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[36] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[38] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[40] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[41] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[40] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[42] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[26] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[25] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[25] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[27] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[28] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[27] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[29] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[33] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[33] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[34] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[36] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[37] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[38] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[39] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[40] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[39] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[41] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[42] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][3] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][0] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; @@ -13181,55 +13209,55 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[27] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[26] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[26] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[25] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[28] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[29] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[30] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[30] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[32] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[33] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[34] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[35] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[35] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[36] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[37] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[37] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[38] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[39] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[39] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[40] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[41] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[42] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[42] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][1] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][9] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][8] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][7] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][6] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][5] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][3] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][9] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][8] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][7] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][5] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][0] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][2] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][0] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[0][17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[0][16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[0][15] ; 0 ; 0 ; @@ -13244,7 +13272,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[0][6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cordic:cordic_inst|Y[0][5] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][14] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][14] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][11] ; 1 ; 0 ; @@ -13256,83 +13284,83 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][3] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][12] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][11] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][6] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][15] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][11] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cordic:cordic_inst|Y[0][5] ; 0 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|we ; 1 ; 0 ; -; - transmitter:transmitter_inst|fir_q[0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|we ; 0 ; 0 ; +; - transmitter:transmitter_inst|fir_q[0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|fir_q[1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|fir_q[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_q[3] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_q[4] ; 0 ; 0 ; +; - transmitter:transmitter_inst|fir_q[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_q[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_q[4] ; 1 ; 0 ; ; - transmitter:transmitter_inst|fir_q[5] ; 1 ; 0 ; -; - transmitter:transmitter_inst|fir_q[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_q[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_q[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_q[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_q[10] ; 0 ; 0 ; +; - transmitter:transmitter_inst|fir_q[6] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_q[7] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_q[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_q[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_q[10] ; 1 ; 0 ; ; - transmitter:transmitter_inst|fir_q[11] ; 1 ; 0 ; -; - transmitter:transmitter_inst|fir_q[12] ; 0 ; 0 ; +; - transmitter:transmitter_inst|fir_q[12] ; 1 ; 0 ; ; - transmitter:transmitter_inst|fir_q[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|fir_q[14] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_q[15] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[0] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[1] ; 1 ; 0 ; -; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[2] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_q[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[0] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[1] ; 0 ; 0 ; +; - transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[2] ; 0 ; 0 ; ; - transmitter:transmitter_inst|fir_i[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|fir_i[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|fir_i[2] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[3] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_i[3] ; 0 ; 0 ; ; - transmitter:transmitter_inst|fir_i[4] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[5] ; 0 ; 0 ; +; - transmitter:transmitter_inst|fir_i[5] ; 1 ; 0 ; ; - transmitter:transmitter_inst|fir_i[6] ; 1 ; 0 ; ; - transmitter:transmitter_inst|fir_i[7] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[8] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[9] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[10] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|fir_i[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|fir_i[8] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_i[9] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_i[10] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_i[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_i[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|fir_i[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|fir_i[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|fir_i[15] ; 0 ; 0 ; +; - transmitter:transmitter_inst|fir_i[15] ; 1 ; 0 ; ; - adcpipe[1][11] ; 0 ; 0 ; -; - adcpipe[1][10] ; 0 ; 0 ; -; - adcpipe[1][9] ; 1 ; 0 ; +; - adcpipe[1][10] ; 1 ; 0 ; +; - adcpipe[1][9] ; 0 ; 0 ; ; - adcpipe[1][8] ; 0 ; 0 ; ; - adcpipe[1][7] ; 0 ; 0 ; ; - adcpipe[1][6] ; 0 ; 0 ; ; - adcpipe[1][5] ; 0 ; 0 ; ; - adcpipe[1][4] ; 0 ; 0 ; -; - adcpipe[1][3] ; 1 ; 0 ; -; - adcpipe[1][2] ; 0 ; 0 ; +; - adcpipe[1][3] ; 0 ; 0 ; +; - adcpipe[1][2] ; 1 ; 0 ; ; - adcpipe[1][1] ; 0 ; 0 ; ; - adcpipe[1][0] ; 0 ; 0 ; ; - adcpipe[0][11] ; 0 ; 0 ; -; - adcpipe[0][10] ; 0 ; 0 ; -; - adcpipe[0][9] ; 1 ; 0 ; -; - adcpipe[0][8] ; 0 ; 0 ; -; - adcpipe[0][7] ; 0 ; 0 ; -; - adcpipe[0][6] ; 0 ; 0 ; +; - adcpipe[0][10] ; 1 ; 0 ; +; - adcpipe[0][9] ; 0 ; 0 ; +; - adcpipe[0][8] ; 1 ; 0 ; +; - adcpipe[0][7] ; 1 ; 0 ; +; - adcpipe[0][6] ; 1 ; 0 ; ; - adcpipe[0][5] ; 0 ; 0 ; -; - adcpipe[0][4] ; 0 ; 0 ; +; - adcpipe[0][4] ; 1 ; 0 ; ; - adcpipe[0][3] ; 1 ; 0 ; -; - adcpipe[0][2] ; 0 ; 0 ; +; - adcpipe[0][2] ; 1 ; 0 ; ; - adcpipe[0][1] ; 1 ; 0 ; -; - adcpipe[0][0] ; 0 ; 0 ; +; - adcpipe[0][0] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[0] ; 0 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[1] ; 0 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[2] ; 0 ; 0 ; @@ -13340,70 +13368,70 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - transmitter:transmitter_inst|tx_IQ_data[4] ; 0 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[5] ; 0 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[6] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[7] ; 0 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[7] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[8] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[9] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[10] ; 1 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[11] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[12] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[13] ; 0 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[11] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[12] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[13] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[14] ; 1 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[15] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[16] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[17] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[18] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[19] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[20] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[21] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[15] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[16] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[17] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[18] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[19] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[20] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[21] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[22] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[23] ; 1 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[24] ; 0 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[24] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[25] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[26] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[27] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[28] ; 1 ; 0 ; ; - transmitter:transmitter_inst|tx_IQ_data[29] ; 1 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[30] ; 0 ; 0 ; -; - transmitter:transmitter_inst|tx_IQ_data[31] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 0 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[30] ; 1 ; 0 ; +; - transmitter:transmitter_inst|tx_IQ_data[31] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[5] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[2] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|out_strobe ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[24] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[20] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[19] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[17] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[12] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[9] ; 0 ; 0 ; @@ -13417,30 +13445,30 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[2].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|out_strobe ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|sample_no[2] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|sample_no[0] ; 1 ; 0 ; @@ -13458,31 +13486,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|sample_no[13] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|sample_no[14] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|sample_no[15] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[24] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[20] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[17] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[15] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[13] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[10] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[8] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[7] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[5] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[4] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[3] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[2] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[1] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[1].cic_comb_inst|prev_data[0] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|sample_no[2] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|sample_no[0] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|sample_no[1] ; 0 ; 0 ; @@ -13499,75 +13527,75 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|sample_no[13] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|sample_no[14] ; 0 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|sample_no[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[24] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[23] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[22] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[21] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[20] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[19] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[18] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[17] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[16] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[15] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 0 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[14] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[13] ; 1 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[12] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[11] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[9] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[8] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[7] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[6] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[5] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[4] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[3] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[2] ; 1 ; 0 ; -; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 0 ; 0 ; +; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[1] ; 1 ; 0 ; ; - receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[0] ; 1 ; 0 ; ; - ad9866_rxclk~output ; 1 ; 0 ; ; - ad9866_txclk~output ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; -; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; +; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; @@ -13577,12 +13605,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; -; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 1 ; 0 ; +; - receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; - transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; 0 ; 0 ; ; KEY_DOT ; ; ; @@ -13662,8 +13690,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; 1 ; 0 ; -; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; 1 ; 0 ; +; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; 1 ; 0 ; ; - rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; 1 ; 0 ; @@ -13766,8 +13794,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[0] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[9] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[8] ; 1 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[7] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[5] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[4] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[3] ; 1 ; 0 ; @@ -13796,8 +13824,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; 1 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; 1 ; 0 ; @@ -13841,8 +13869,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; 1 ; 0 ; -; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; 1 ; 0 ; +; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; 1 ; 0 ; ; - txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; 1 ; 0 ; @@ -13865,10 +13893,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx_inst|treg[39] ; 0 ; 6 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; 1 ; 0 ; -; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; 1 ; 0 ; +; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; 1 ; 0 ; ; - rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; 1 ; 0 ; @@ -13920,7 +13948,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx_inst|rdata[35] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rdata[36] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rdata[37] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[47] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[47] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|nb[0] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|nb[1] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|nb[2] ; 0 ; 0 ; @@ -13930,7 +13958,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx2_inst|nb[6] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[46] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[47] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[46] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[46] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|nb[6] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|nb[5] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|nb[4] ; 0 ; 0 ; @@ -13938,9 +13966,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx_inst|nb[2] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|nb[1] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|nb[0] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[31] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[25] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[24] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[31] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[25] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[24] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rdata[23] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rdata[19] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rdata[17] ; 0 ; 0 ; @@ -13966,96 +13994,96 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx_inst|rdata[21] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rdata[22] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rdata[29] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[30] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[26] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[27] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[28] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[31] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[32] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[33] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[34] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[35] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[36] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[30] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[26] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[27] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[28] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[31] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[32] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[33] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[34] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[35] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[36] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[45] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[45] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[30] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[45] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[30] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[24] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[23] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[22] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[18] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[16] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[16] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[19] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[17] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[11] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[17] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[11] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[15] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[13] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[13] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[14] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[12] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[12] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[10] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[9] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[8] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[7] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[5] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[6] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[4] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[3] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[4] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[3] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[0] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[1] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[2] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[20] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[21] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[28] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[28] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx_inst|rreg[29] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[25] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[26] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[27] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[44] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[25] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[26] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[27] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[44] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|done ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[45] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[45] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[40] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[41] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[42] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[43] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[39] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[46] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[47] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[41] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[42] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[43] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[39] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[46] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[47] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[44] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[44] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[40] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rdata[41] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[43] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[44] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[39] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[40] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[41] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[42] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[44] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[40] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rdata[41] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[43] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[44] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[39] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[40] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[41] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[42] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[38] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[45] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[46] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[45] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[46] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[43] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[43] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[39] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[40] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[43] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[39] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[40] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[37] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[32] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[33] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[34] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[35] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[33] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[34] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[35] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[36] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[37] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[38] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[42] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[42] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[38] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[42] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[38] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[36] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[31] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[32] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[33] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[34] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[32] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[33] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[34] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[35] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[41] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[41] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx_inst|rreg[37] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|rreg[37] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[30] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[40] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[40] ; 0 ; 0 ; @@ -14068,13 +14096,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx2_inst|rreg[27] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[37] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[37] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[26] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[26] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[36] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[36] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[25] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[25] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[35] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[35] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[24] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[24] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[34] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[34] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[23] ; 0 ; 0 ; @@ -14083,13 +14111,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx2_inst|rreg[22] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[32] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[32] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[21] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[21] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[31] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[31] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[20] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[20] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[30] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[30] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[19] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[19] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[29] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[29] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[18] ; 1 ; 0 ; @@ -14107,35 +14135,35 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx2_inst|rreg[14] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[24] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[24] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[13] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[13] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[23] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[23] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[12] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[12] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[22] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[22] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[11] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[11] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[21] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[21] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[10] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[18] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[19] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[10] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[18] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[19] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[20] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[21] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[22] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[23] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[24] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[21] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[22] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[23] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[24] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[25] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[26] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[27] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[27] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[28] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[29] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[30] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[31] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[0] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[1] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[30] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[31] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[0] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[1] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[2] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[3] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[4] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[3] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[4] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[5] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[6] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[7] ; 0 ; 0 ; @@ -14145,22 +14173,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx2_inst|rdata[11] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[12] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rdata[13] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[14] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[15] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[16] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rdata[17] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[14] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[15] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[16] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rdata[17] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[20] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[20] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[9] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[0] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[1] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[2] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[9] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[0] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[1] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[2] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|rreg[3] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[4] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[5] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[6] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[7] ; 0 ; 0 ; -; - spi_slave:spi_slave_rx2_inst|rreg[8] ; 0 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[4] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[5] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[6] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[7] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx2_inst|rreg[8] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[19] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[19] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[18] ; 1 ; 0 ; @@ -14170,42 +14198,42 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; - spi_slave:spi_slave_rx2_inst|treg[16] ; 1 ; 0 ; ; - spi_slave:spi_slave_rx_inst|treg[16] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[15] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[15] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[15] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[14] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[14] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[14] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[13] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[13] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[13] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[12] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[12] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[12] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[11] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[11] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[11] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[10] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[10] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[10] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[9] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[9] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[9] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[8] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[8] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[8] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[7] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[7] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[7] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[6] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[6] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[6] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[5] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[5] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[5] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[4] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[4] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[4] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[3] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[3] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[3] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[2] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[2] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[2] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[1] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[1] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[1] ; 0 ; 0 ; ; - spi_slave:spi_slave_rx2_inst|treg[0] ; 1 ; 0 ; -; - spi_slave:spi_slave_rx_inst|treg[0] ; 1 ; 0 ; +; - spi_slave:spi_slave_rx_inst|treg[0] ; 0 ; 0 ; ; spi_mosi ; ; ; -; - spi_slave:spi_slave_rx_inst|rreg[0] ; 1 ; 6 ; -; - spi_slave:spi_slave_rx2_inst|rdata[0] ; 1 ; 6 ; -; - spi_slave:spi_slave_rx2_inst|rreg[0] ; 1 ; 6 ; +; - spi_slave:spi_slave_rx2_inst|rreg[0]~feeder ; 1 ; 6 ; +; - spi_slave:spi_slave_rx2_inst|rdata[0]~feeder ; 1 ; 6 ; ; - spi_slave:spi_slave_rx_inst|rdata[0]~feeder ; 1 ; 6 ; +; - spi_slave:spi_slave_rx_inst|rreg[0]~feeder ; 1 ; 6 ; ; ad9866_sdo ; ; ; ; - ad9866:ad9866_inst|dut2_data~16 ; 1 ; 6 ; +-------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ @@ -14218,178 +14246,178 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------------------------------------------------------------------------------------------------+--------------------+---------+-----------------------------------------------------+--------+----------------------+------------------+---------------------------+ ; PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated|wire_pll1_clk[0] ; PLL_4 ; 57 ; Clock ; yes ; Global Clock ; GCLK19 ; -- ; ; PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated|wire_pll1_clk[1] ; PLL_4 ; 30 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; -; ad9866:ad9866_inst|always0~1 ; LCCOMB_X12_Y11_N0 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; -; ad9866:ad9866_inst|datain[1]~30 ; LCCOMB_X11_Y11_N0 ; 13 ; Latch enable ; yes ; Global Clock ; GCLK0 ; -- ; -; ad9866:ad9866_inst|dut2_data~1 ; LCCOMB_X11_Y11_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; ad9866:ad9866_inst|sclk~0 ; LCCOMB_X14_Y24_N4 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; -; ad9866:ad9866_inst|sen_n ; FF_X11_Y11_N27 ; 22 ; Clock enable ; no ; -- ; -- ; -- ; -; ad9866_clk ; PIN_69 ; 10356 ; Clock ; no ; -- ; -- ; -- ; +; ad9866:ad9866_inst|always0~1 ; LCCOMB_X9_Y23_N26 ; 6 ; Sync. load ; no ; -- ; -- ; -- ; +; ad9866:ad9866_inst|datain[1]~30 ; LCCOMB_X10_Y23_N24 ; 13 ; Latch enable ; yes ; Global Clock ; GCLK14 ; -- ; +; ad9866:ad9866_inst|dut2_data~1 ; LCCOMB_X11_Y23_N14 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; ad9866:ad9866_inst|sclk~0 ; LCCOMB_X11_Y23_N0 ; 5 ; Clock enable ; no ; -- ; -- ; -- ; +; ad9866:ad9866_inst|sen_n ; FF_X10_Y23_N27 ; 22 ; Clock enable ; no ; -- ; -- ; -- ; +; ad9866_clk ; PIN_69 ; 10383 ; Clock ; no ; -- ; -- ; -- ; ; clk_10mhz ; PIN_55 ; 18 ; Clock ; no ; -- ; -- ; -- ; ; clk_10mhz ; PIN_55 ; 81 ; Clock ; yes ; Global Clock ; GCLK17 ; -- ; -; iambic:iambic_inst|WideOr6 ; LCCOMB_X7_Y28_N30 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; iambic:iambic_inst|delay[16]~44 ; LCCOMB_X7_Y28_N24 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; -; profile:profile_CW|Selector33~4 ; LCCOMB_X6_Y27_N24 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; profile:profile_CW|hang_timer[9]~20 ; LCCOMB_X3_Y27_N4 ; 19 ; Sync. clear ; no ; -- ; -- ; -- ; -; profile:profile_CW|hang_timer[9]~21 ; LCCOMB_X3_Y26_N18 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; -; profile:profile_CW|profile_count[5]~1 ; LCCOMB_X4_Y27_N12 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; profile:profile_CW|timer[12]~52 ; LCCOMB_X6_Y27_N20 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; -; ptt_in ; PIN_42 ; 168 ; Clock enable, Output enable, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cic:cic_inst_I2|out_strobe ; FF_X21_Y14_N27 ; 606 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cic:cic_inst_Q2|out_strobe ; FF_X38_Y18_N31 ; 142 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][15] ; FF_X20_Y21_N31 ; 40 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][5] ; FF_X33_Y19_N29 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][14] ; FF_X20_Y21_N29 ; 45 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][13] ; FF_X21_Y25_N25 ; 44 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][12] ; FF_X20_Y24_N27 ; 41 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][11] ; FF_X20_Y23_N19 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][10] ; FF_X22_Y24_N31 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][6] ; FF_X23_Y24_N31 ; 22 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|cordic:cordic_inst|phase[30] ; FF_X15_Y22_N29 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|Equal0~1 ; LCCOMB_X19_Y6_N22 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|Equal9~0 ; LCCOMB_X16_Y3_N0 ; 49 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|Equal9~1 ; LCCOMB_X16_Y5_N0 ; 23 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[26]~1 ; LCCOMB_X20_Y3_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[3]~26 ; LCCOMB_X20_Y3_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|reg_q[35]~2 ; LCCOMB_X20_Y3_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[20]~25 ; LCCOMB_X21_Y4_N4 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[2]~26 ; LCCOMB_X21_Y4_N26 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|reg_q[35]~2 ; LCCOMB_X21_Y4_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[30]~1 ; LCCOMB_X9_Y5_N10 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[6]~26 ; LCCOMB_X9_Y5_N12 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|reg_q[35]~2 ; LCCOMB_X9_Y5_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[20]~1 ; LCCOMB_X30_Y7_N0 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[1]~29 ; LCCOMB_X30_Y7_N28 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|reg_q[35]~2 ; LCCOMB_X30_Y7_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[20]~25 ; LCCOMB_X15_Y6_N10 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|caddr[1]~26 ; LCCOMB_X15_Y6_N8 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|reg_q[35]~2 ; LCCOMB_X15_Y6_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[21]~25 ; LCCOMB_X19_Y9_N2 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|counter[7]~29 ; LCCOMB_X19_Y9_N30 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|reg_q[35]~2 ; LCCOMB_X19_Y9_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[33]~1 ; LCCOMB_X21_Y6_N4 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[6]~29 ; LCCOMB_X21_Y6_N30 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|reg_q[35]~2 ; LCCOMB_X21_Y6_N28 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[18]~25 ; LCCOMB_X17_Y9_N26 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|counter[0]~29 ; LCCOMB_X17_Y9_N20 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|reg_q[35]~2 ; LCCOMB_X17_Y9_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weA ; LCCOMB_X16_Y3_N6 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weB ; LCCOMB_X15_Y5_N30 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weC ; LCCOMB_X15_Y5_N8 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weD ; LCCOMB_X17_Y5_N28 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weE ; LCCOMB_X17_Y5_N0 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weF ; LCCOMB_X15_Y5_N24 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weG ; LCCOMB_X17_Y5_N4 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weH ; LCCOMB_X15_Y5_N6 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|weH~0 ; LCCOMB_X16_Y5_N6 ; 56 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[0]~0 ; LCCOMB_X16_Y6_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|Equal0~6 ; LCCOMB_X21_Y23_N6 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; FF_X20_Y23_N27 ; 423 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|Equal0~6 ; LCCOMB_X21_Y12_N22 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; -; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_strobe ; FF_X36_Y14_N9 ; 419 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cic:cic_inst_I2|out_strobe ; FF_X22_Y3_N27 ; 606 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cic:cic_inst_Q2|out_strobe ; FF_X1_Y5_N31 ; 142 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][15] ; FF_X20_Y12_N5 ; 40 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][5] ; FF_X28_Y6_N29 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][14] ; FF_X20_Y12_N31 ; 45 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][13] ; FF_X21_Y14_N23 ; 44 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][12] ; FF_X22_Y15_N25 ; 41 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][11] ; FF_X21_Y13_N23 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][10] ; FF_X19_Y13_N17 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][6] ; FF_X29_Y10_N13 ; 22 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|cordic:cordic_inst|phase[30] ; FF_X14_Y13_N29 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|Equal0~1 ; LCCOMB_X28_Y18_N4 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|Equal9~0 ; LCCOMB_X26_Y17_N10 ; 49 ; Sync. load ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|Equal9~1 ; LCCOMB_X26_Y19_N14 ; 23 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[30]~1 ; LCCOMB_X24_Y12_N6 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|caddr[5]~26 ; LCCOMB_X24_Y12_N8 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|reg_q[35]~2 ; LCCOMB_X24_Y12_N28 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[35]~1 ; LCCOMB_X17_Y16_N30 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|counter[3]~29 ; LCCOMB_X17_Y16_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|reg_q[35]~2 ; LCCOMB_X17_Y16_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[35]~1 ; LCCOMB_X26_Y23_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[3]~26 ; LCCOMB_X26_Y23_N22 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|reg_q[35]~2 ; LCCOMB_X26_Y23_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[35]~1 ; LCCOMB_X28_Y21_N22 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[6]~29 ; LCCOMB_X28_Y21_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|reg_q[35]~2 ; LCCOMB_X28_Y21_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[33]~1 ; LCCOMB_X28_Y18_N10 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[7]~29 ; LCCOMB_X27_Y18_N6 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|reg_q[35]~2 ; LCCOMB_X27_Y18_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[13]~75 ; LCCOMB_X23_Y23_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|counter[0]~29 ; LCCOMB_X23_Y23_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|reg_q[35]~2 ; LCCOMB_X23_Y23_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[0]~25 ; LCCOMB_X19_Y19_N30 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[7]~29 ; LCCOMB_X19_Y19_N2 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|reg_q[35]~2 ; LCCOMB_X19_Y19_N28 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[3]~25 ; LCCOMB_X33_Y12_N8 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[0]~29 ; LCCOMB_X33_Y12_N6 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|reg_q[35]~2 ; LCCOMB_X33_Y12_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weA ; LCCOMB_X27_Y16_N28 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weB ; LCCOMB_X27_Y16_N24 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weC ; LCCOMB_X27_Y20_N2 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weD ; LCCOMB_X27_Y20_N24 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weE ; LCCOMB_X26_Y18_N26 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weF ; LCCOMB_X27_Y20_N18 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weG ; LCCOMB_X26_Y18_N28 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weH ; LCCOMB_X27_Y16_N2 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|weH~0 ; LCCOMB_X27_Y19_N0 ; 56 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1]~0 ; LCCOMB_X27_Y19_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|varcic:varcic_inst_I1|Equal0~6 ; LCCOMB_X24_Y3_N6 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; FF_X27_Y3_N1 ; 423 ; Clock enable ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|Equal0~6 ; LCCOMB_X3_Y6_N28 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; -; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|out_strobe ; FF_X35_Y4_N31 ; 419 ; Clock enable ; no ; -- ; -- ; -- ; -; reset_handler:reset_handler_inst|LessThan0~7 ; LCCOMB_X1_Y27_N24 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; -; reset_handler:reset_handler_inst|reset ; FF_X1_Y24_N29 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; -; reset_handler:reset_handler_inst|reset ; FF_X1_Y24_N29 ; 699 ; Async. clear ; yes ; Global Clock ; GCLK13 ; -- ; +; iambic:iambic_inst|WideOr6 ; LCCOMB_X8_Y24_N2 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; iambic:iambic_inst|delay[7]~44 ; LCCOMB_X7_Y25_N6 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; +; profile:profile_CW|Selector31~3 ; LCCOMB_X7_Y25_N4 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; profile:profile_CW|hang_timer[10]~20 ; LCCOMB_X12_Y28_N12 ; 19 ; Sync. clear ; no ; -- ; -- ; -- ; +; profile:profile_CW|hang_timer[10]~21 ; LCCOMB_X12_Y27_N30 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; profile:profile_CW|profile_count[4]~1 ; LCCOMB_X8_Y25_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; profile:profile_CW|timer[3]~52 ; LCCOMB_X7_Y24_N22 ; 18 ; Sync. clear ; no ; -- ; -- ; -- ; +; ptt_in ; PIN_42 ; 169 ; Clock enable, Output enable, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cic:cic_inst_I2|out_strobe ; FF_X23_Y1_N23 ; 606 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cic:cic_inst_Q2|out_strobe ; FF_X39_Y11_N1 ; 142 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[0][15] ; FF_X23_Y19_N1 ; 40 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[10][5] ; FF_X38_Y12_N27 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][14] ; FF_X23_Y19_N25 ; 45 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][13] ; FF_X23_Y18_N25 ; 44 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][12] ; FF_X22_Y20_N29 ; 41 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][11] ; FF_X15_Y24_N31 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][10] ; FF_X15_Y26_N29 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[9][6] ; FF_X36_Y15_N13 ; 22 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|cordic:cordic_inst|phase[30] ; FF_X23_Y23_N29 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|Equal0~1 ; LCCOMB_X23_Y6_N10 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|Equal9~0 ; LCCOMB_X20_Y6_N6 ; 50 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|Equal9~1 ; LCCOMB_X19_Y5_N30 ; 22 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[12]~75 ; LCCOMB_X21_Y2_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[1]~29 ; LCCOMB_X21_Y2_N30 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|reg_q[35]~2 ; LCCOMB_X21_Y2_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[19]~25 ; LCCOMB_X21_Y8_N2 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|counter[8]~29 ; LCCOMB_X21_Y8_N24 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|reg_q[35]~2 ; LCCOMB_X21_Y8_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[24]~1 ; LCCOMB_X22_Y5_N30 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[2]~26 ; LCCOMB_X22_Y5_N28 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|reg_q[35]~2 ; LCCOMB_X22_Y5_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[27]~1 ; LCCOMB_X31_Y7_N24 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[5]~26 ; LCCOMB_X31_Y7_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|reg_q[35]~2 ; LCCOMB_X31_Y7_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[22]~75 ; LCCOMB_X15_Y8_N6 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|counter[3]~29 ; LCCOMB_X15_Y8_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|reg_q[35]~2 ; LCCOMB_X15_Y8_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[10]~25 ; LCCOMB_X31_Y6_N4 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|caddr[5]~26 ; LCCOMB_X31_Y6_N8 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|reg_q[35]~2 ; LCCOMB_X31_Y6_N12 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[14]~1 ; LCCOMB_X28_Y7_N4 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[2]~29 ; LCCOMB_X28_Y7_N30 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|reg_q[35]~2 ; LCCOMB_X28_Y7_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[19]~25 ; LCCOMB_X14_Y9_N8 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|counter[5]~29 ; LCCOMB_X14_Y9_N10 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|reg_q[35]~2 ; LCCOMB_X14_Y9_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weA ; LCCOMB_X20_Y6_N4 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weB ; LCCOMB_X23_Y8_N22 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weC ; LCCOMB_X20_Y6_N2 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weD ; LCCOMB_X24_Y6_N0 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weE ; LCCOMB_X20_Y8_N22 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weF ; LCCOMB_X23_Y8_N18 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weG ; LCCOMB_X24_Y6_N28 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weH ; LCCOMB_X20_Y8_N0 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|weH~0 ; LCCOMB_X22_Y6_N18 ; 56 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[4]~0 ; LCCOMB_X22_Y6_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|Equal0~6 ; LCCOMB_X24_Y3_N28 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; FF_X23_Y2_N15 ; 423 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|Equal0~6 ; LCCOMB_X28_Y13_N12 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; +; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_strobe ; FF_X33_Y7_N5 ; 419 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cic:cic_inst_I2|out_strobe ; FF_X7_Y8_N7 ; 606 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cic:cic_inst_Q2|out_strobe ; FF_X3_Y20_N31 ; 142 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][15] ; FF_X8_Y13_N31 ; 40 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[10][5] ; FF_X3_Y9_N7 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][14] ; FF_X7_Y13_N25 ; 45 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][13] ; FF_X8_Y13_N29 ; 44 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][12] ; FF_X2_Y22_N29 ; 41 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][11] ; FF_X3_Y22_N31 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[5][10] ; FF_X3_Y21_N15 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|Z[9][6] ; FF_X3_Y21_N31 ; 22 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|cordic:cordic_inst|phase[30] ; FF_X14_Y21_N29 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|Equal0~1 ; LCCOMB_X26_Y14_N28 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|Equal9~0 ; LCCOMB_X16_Y11_N26 ; 49 ; Sync. load ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|Equal9~1 ; LCCOMB_X16_Y13_N30 ; 23 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[20]~75 ; LCCOMB_X19_Y10_N20 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[8]~29 ; LCCOMB_X19_Y10_N28 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|reg_q[35]~2 ; LCCOMB_X19_Y10_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[30]~1 ; LCCOMB_X15_Y11_N24 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|counter[7]~29 ; LCCOMB_X14_Y11_N2 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|reg_q[35]~2 ; LCCOMB_X14_Y11_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[13]~1 ; LCCOMB_X20_Y13_N0 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|counter[7]~29 ; LCCOMB_X20_Y13_N2 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|reg_q[35]~2 ; LCCOMB_X20_Y13_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[10]~75 ; LCCOMB_X27_Y19_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[6]~29 ; LCCOMB_X27_Y19_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|reg_q[35]~2 ; LCCOMB_X27_Y19_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[22]~25 ; LCCOMB_X26_Y10_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[1]~29 ; LCCOMB_X26_Y10_N0 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|reg_q[35]~2 ; LCCOMB_X26_Y10_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[21]~1 ; LCCOMB_X23_Y17_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[7]~26 ; LCCOMB_X23_Y17_N18 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|reg_q[35]~2 ; LCCOMB_X23_Y17_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[23]~25 ; LCCOMB_X32_Y15_N28 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[2]~26 ; LCCOMB_X32_Y15_N26 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|reg_q[35]~2 ; LCCOMB_X33_Y15_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[28]~1 ; LCCOMB_X30_Y15_N0 ; 98 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[2]~26 ; LCCOMB_X30_Y15_N12 ; 25 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|reg_q[35]~2 ; LCCOMB_X30_Y15_N2 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weA ; LCCOMB_X16_Y11_N30 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weB ; LCCOMB_X16_Y11_N28 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weC ; LCCOMB_X20_Y15_N22 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weD ; LCCOMB_X26_Y16_N30 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weE ; LCCOMB_X24_Y13_N0 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weF ; LCCOMB_X23_Y14_N16 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weG ; LCCOMB_X26_Y16_N6 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weH ; LCCOMB_X24_Y13_N24 ; 127 ; Clock enable, Sync. clear, Sync. load, Write enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|weH~0 ; LCCOMB_X16_Y13_N26 ; 56 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|firX8R8:fir2|wstate[4]~0 ; LCCOMB_X21_Y13_N20 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|varcic:varcic_inst_I1|Equal0~6 ; LCCOMB_X11_Y5_N28 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; FF_X15_Y6_N31 ; 423 ; Clock enable ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|Equal0~6 ; LCCOMB_X6_Y14_N8 ; 17 ; Sync. clear ; no ; -- ; -- ; -- ; +; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|out_strobe ; FF_X4_Y20_N29 ; 419 ; Clock enable ; no ; -- ; -- ; -- ; +; reset_handler:reset_handler_inst|LessThan0~7 ; LCCOMB_X2_Y25_N26 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; reset_handler:reset_handler_inst|reset ; FF_X1_Y26_N7 ; 28 ; Sync. clear ; no ; -- ; -- ; -- ; +; reset_handler:reset_handler_inst|reset ; FF_X1_Y26_N7 ; 699 ; Async. clear ; yes ; Global Clock ; GCLK10 ; -- ; ; spi_ce[0] ; PIN_51 ; 175 ; Clock, Clock enable ; no ; -- ; -- ; -- ; ; spi_ce[1] ; PIN_50 ; 133 ; Clock, Clock enable ; no ; -- ; -- ; -- ; ; spi_sck ; PIN_58 ; 288 ; Clock ; no ; -- ; -- ; -- ; -; spi_slave:spi_slave_rx2_inst|done ; FF_X5_Y14_N5 ; 20 ; Clock ; yes ; Global Clock ; GCLK1 ; -- ; -; spi_slave:spi_slave_rx2_inst|rdata[39]~0 ; LCCOMB_X19_Y20_N0 ; 48 ; Clock enable ; no ; -- ; -- ; -- ; -; spi_slave:spi_slave_rx_inst|done ; FF_X9_Y23_N7 ; 13 ; Clock ; no ; -- ; -- ; -- ; -; spi_slave:spi_slave_rx_inst|done ; FF_X9_Y23_N7 ; 38 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ; -; spi_slave:spi_slave_rx_inst|rdata[37]~0 ; LCCOMB_X15_Y16_N30 ; 40 ; Clock enable ; no ; -- ; -- ; -- ; -; spi_slave:spi_slave_rx_inst|sdout~2 ; LCCOMB_X19_Y1_N6 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|CicInterpM5:in2|Equal0~2 ; LCCOMB_X1_Y4_N2 ; 1101 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[23]~0 ; LCCOMB_X10_Y7_N6 ; 96 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|Selector1~0 ; LCCOMB_X10_Y9_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|WideOr10~0 ; LCCOMB_X10_Y5_N24 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[2]~10 ; LCCOMB_X10_Y9_N0 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; FF_X11_Y9_N15 ; 35 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rDone ; FF_X10_Y5_N1 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd1 ; FF_X10_Y9_N7 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; FF_X10_Y5_N23 ; 100 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; FF_X10_Y9_N23 ; 18 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; FF_X10_Y9_N3 ; 2 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|afTxFIFOReadStrobe~0 ; LCCOMB_X11_Y12_N20 ; 61 ; Clock enable ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][19] ; FF_X10_Y25_N5 ; 56 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][6] ; FF_X12_Y18_N31 ; 24 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][5] ; FF_X11_Y18_N7 ; 22 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][18] ; FF_X10_Y24_N19 ; 59 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][17] ; FF_X9_Y24_N17 ; 58 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][16] ; FF_X6_Y24_N31 ; 55 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][13] ; FF_X8_Y22_N29 ; 43 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][12] ; FF_X10_Y18_N23 ; 41 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][11] ; FF_X12_Y19_N23 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][10] ; FF_X15_Y21_N23 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[30] ; FF_X14_Y26_N29 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; -; transmitter:transmitter_inst|tx_IQ_data[7]~1 ; LCCOMB_X11_Y12_N22 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; spi_slave:spi_slave_rx2_inst|done ; FF_X3_Y14_N5 ; 20 ; Clock ; yes ; Global Clock ; GCLK4 ; -- ; +; spi_slave:spi_slave_rx2_inst|rdata[39]~0 ; LCCOMB_X16_Y25_N26 ; 48 ; Clock enable ; no ; -- ; -- ; -- ; +; spi_slave:spi_slave_rx_inst|done ; FF_X12_Y19_N17 ; 13 ; Clock ; no ; -- ; -- ; -- ; +; spi_slave:spi_slave_rx_inst|done ; FF_X12_Y19_N17 ; 38 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; +; spi_slave:spi_slave_rx_inst|rdata[37]~0 ; LCCOMB_X16_Y19_N26 ; 40 ; Clock enable ; no ; -- ; -- ; -- ; +; spi_slave:spi_slave_rx_inst|sdout~2 ; LCCOMB_X19_Y8_N6 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|CicInterpM5:in2|Equal0~2 ; LCCOMB_X20_Y18_N24 ; 1101 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[15]~0 ; LCCOMB_X12_Y20_N8 ; 96 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|Selector1~0 ; LCCOMB_X12_Y20_N0 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|WideOr10~0 ; LCCOMB_X12_Y20_N22 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[1]~10 ; LCCOMB_X12_Y20_N12 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; FF_X11_Y20_N5 ; 35 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rDone ; FF_X12_Y20_N7 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd1 ; FF_X38_Y20_N15 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; FF_X12_Y20_N3 ; 100 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; FF_X12_Y20_N31 ; 18 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; FF_X12_Y20_N11 ; 2 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|afTxFIFOReadStrobe~0 ; LCCOMB_X11_Y20_N8 ; 61 ; Clock enable ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[0][19] ; FF_X21_Y27_N27 ; 56 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[13][6] ; FF_X14_Y23_N13 ; 24 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][5] ; FF_X15_Y23_N27 ; 22 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[1][18] ; FF_X21_Y23_N19 ; 59 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[2][17] ; FF_X20_Y23_N17 ; 58 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[3][16] ; FF_X10_Y27_N31 ; 55 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[6][13] ; FF_X11_Y27_N31 ; 43 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][12] ; FF_X17_Y23_N15 ; 41 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][11] ; FF_X20_Y22_N17 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][10] ; FF_X19_Y21_N23 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|cpl_cordic:cordic_inst|phase[30] ; FF_X28_Y25_N29 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; +; transmitter:transmitter_inst|tx_IQ_data[0]~1 ; LCCOMB_X11_Y20_N12 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +------------------------------------------------------------------------------------------------------+--------------------+---------+-----------------------------------------------------+--------+----------------------+------------------+---------------------------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+------------------------------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+------------------------------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated|wire_pll1_clk[0] ; PLL_4 ; 57 ; 0 ; Global Clock ; GCLK19 ; -- ; -; PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated|wire_pll1_clk[1] ; PLL_4 ; 30 ; 0 ; Global Clock ; GCLK18 ; -- ; -; ad9866:ad9866_inst|datain[1]~30 ; LCCOMB_X11_Y11_N0 ; 13 ; 0 ; Global Clock ; GCLK0 ; -- ; -; clk_10mhz ; PIN_55 ; 81 ; 1 ; Global Clock ; GCLK17 ; -- ; -; reset_handler:reset_handler_inst|reset ; FF_X1_Y24_N29 ; 699 ; 0 ; Global Clock ; GCLK13 ; -- ; -; spi_slave:spi_slave_rx2_inst|done ; FF_X5_Y14_N5 ; 20 ; 0 ; Global Clock ; GCLK1 ; -- ; -; spi_slave:spi_slave_rx_inst|done ; FF_X9_Y23_N7 ; 38 ; 0 ; Global Clock ; GCLK4 ; -- ; -+------------------------------------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++------------------------------------------------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++------------------------------------------------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ +; PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated|wire_pll1_clk[0] ; PLL_4 ; 57 ; 0 ; Global Clock ; GCLK19 ; -- ; +; PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated|wire_pll1_clk[1] ; PLL_4 ; 30 ; 0 ; Global Clock ; GCLK18 ; -- ; +; ad9866:ad9866_inst|datain[1]~30 ; LCCOMB_X10_Y23_N24 ; 13 ; 0 ; Global Clock ; GCLK14 ; -- ; +; clk_10mhz ; PIN_55 ; 81 ; 0 ; Global Clock ; GCLK17 ; -- ; +; reset_handler:reset_handler_inst|reset ; FF_X1_Y26_N7 ; 699 ; 0 ; Global Clock ; GCLK10 ; -- ; +; spi_slave:spi_slave_rx2_inst|done ; FF_X3_Y14_N5 ; 20 ; 4 ; Global Clock ; GCLK4 ; -- ; +; spi_slave:spi_slave_rx_inst|done ; FF_X12_Y19_N17 ; 38 ; 0 ; Global Clock ; GCLK2 ; -- ; ++------------------------------------------------------------------------------------------------------+--------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ +-----------------------------------------------------------------+ @@ -14397,7 +14425,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------+---------+ ; Name ; Fan-Out ; +-------------------------------------------------------+---------+ -; ad9866_clk~input ; 10373 ; +; ad9866_clk~input ; 10400 ; ; transmitter:transmitter_inst|CicInterpM5:in2|Equal0~2 ; 1101 ; ; receiver:receiver_rx2_inst|cic:cic_inst_I2|out_strobe ; 606 ; ; receiver:receiver_rx_inst|cic:cic_inst_I2|out_strobe ; 606 ; @@ -14409,44 +14437,44 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+---------------------------------+--------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+----------+------------------------+---------------+ ; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; ECC Mode ; ECC Pipeline Registers ; Fits in MLABs ; +----------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+---------------------------------+--------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+----------+------------------------+---------------+ -; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 1000 ; 16 ; -- ; -- ; yes ; yes ; -- ; -- ; 16000 ; 1000 ; 16 ; -- ; -- ; 16000 ; 2 ; profile.mif ; M9K_X13_Y25_N0, M9K_X13_Y26_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 1000 ; 16 ; -- ; -- ; yes ; yes ; -- ; -- ; 16000 ; 1000 ; 16 ; -- ; -- ; 16000 ; 2 ; profile.mif ; M9K_X13_Y27_N0, M9K_X13_Y28_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y2_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firromH:rom|altsyncram:altsyncram_component|altsyncram_ca91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8A.mif ; M9K_X25_Y1_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y5_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8B.mif ; M9K_X13_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firromH:rom|altsyncram:altsyncram_component|altsyncram_ea91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8C.mif ; M9K_X25_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firromH:rom|altsyncram:altsyncram_component|altsyncram_fa91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8D.mif ; M9K_X25_Y2_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firromH:rom|altsyncram:altsyncram_component|altsyncram_ga91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8E.mif ; M9K_X13_Y5_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y11_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firromH:rom|altsyncram:altsyncram_component|altsyncram_ha91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8F.mif ; M9K_X13_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y9_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8G.mif ; M9K_X13_Y9_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y10_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8H.mif ; M9K_X13_Y11_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y15_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firromH:rom|altsyncram:altsyncram_component|altsyncram_ca91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8A.mif ; M9K_X25_Y13_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y14_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8B.mif ; M9K_X25_Y12_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y23_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firromH:rom|altsyncram:altsyncram_component|altsyncram_ea91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8C.mif ; M9K_X25_Y27_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y20_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firromH:rom|altsyncram:altsyncram_component|altsyncram_fa91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8D.mif ; M9K_X25_Y25_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y18_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firromH:rom|altsyncram:altsyncram_component|altsyncram_ga91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8E.mif ; M9K_X25_Y21_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y22_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firromH:rom|altsyncram:altsyncram_component|altsyncram_ha91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8F.mif ; M9K_X25_Y24_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y19_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8G.mif ; M9K_X13_Y22_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y16_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8H.mif ; M9K_X25_Y17_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 48 ; 512 ; 48 ; yes ; no ; yes ; yes ; 24576 ; 512 ; 48 ; 512 ; 48 ; 24576 ; 3 ; None ; M9K_X13_Y23_N0, M9K_X13_Y21_N0, M9K_X13_Y20_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 48 ; 512 ; 48 ; yes ; no ; yes ; yes ; 24576 ; 512 ; 48 ; 512 ; 48 ; 24576 ; 3 ; None ; M9K_X13_Y1_N0, M9K_X13_Y2_N0, M9K_X13_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|firram36I_1024:ram|altsyncram:altsyncram_component|altsyncram_jin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 128 ; 36 ; 128 ; 36 ; yes ; no ; yes ; yes ; 4608 ; 128 ; 36 ; 128 ; 36 ; 4608 ; 1 ; None ; M9K_X13_Y10_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|firromI_1024:rom|altsyncram:altsyncram_component|altsyncram_23b1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 1024 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 18432 ; 1024 ; 18 ; -- ; -- ; 18432 ; 2 ; ./Polyphase_FIR/coefI8_1024.mif ; M9K_X13_Y6_N0, M9K_X13_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; -; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 2048 ; 32 ; 2048 ; 32 ; yes ; no ; yes ; yes ; 65536 ; 2048 ; 32 ; 2048 ; 32 ; 65536 ; 8 ; None ; M9K_X13_Y19_N0, M9K_X13_Y12_N0, M9K_X13_Y14_N0, M9K_X13_Y13_N0, M9K_X13_Y16_N0, M9K_X13_Y17_N0, M9K_X13_Y18_N0, M9K_X13_Y15_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X13_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8B.mif ; M9K_X25_Y10_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X13_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|firromH:rom|altsyncram:altsyncram_component|altsyncram_ea91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8C.mif ; M9K_X25_Y3_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y8_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|firromH:rom|altsyncram:altsyncram_component|altsyncram_fa91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8D.mif ; M9K_X25_Y11_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X13_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|firromH:rom|altsyncram:altsyncram_component|altsyncram_ga91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8E.mif ; M9K_X13_Y2_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y5_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firromH:rom|altsyncram:altsyncram_component|altsyncram_ha91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8F.mif ; M9K_X25_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y4_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8G.mif ; M9K_X25_Y6_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X13_Y7_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8H.mif ; M9K_X25_Y9_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X13_Y14_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firromH:rom|altsyncram:altsyncram_component|altsyncram_ca91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8A.mif ; M9K_X13_Y10_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X13_Y13_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|firromH:rom|altsyncram:altsyncram_component|altsyncram_da91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8B.mif ; M9K_X13_Y15_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X13_Y16_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|firromH:rom|altsyncram:altsyncram_component|altsyncram_ea91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8C.mif ; M9K_X13_Y17_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y18_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|firromH:rom|altsyncram:altsyncram_component|altsyncram_fa91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8D.mif ; M9K_X25_Y22_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y13_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|firromH:rom|altsyncram:altsyncram_component|altsyncram_ga91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8E.mif ; M9K_X25_Y12_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y17_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firromH:rom|altsyncram:altsyncram_component|altsyncram_ha91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8F.mif ; M9K_X25_Y21_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y16_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firromH:rom|altsyncram:altsyncram_component|altsyncram_ia91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8G.mif ; M9K_X25_Y19_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 36 ; 256 ; 36 ; yes ; no ; yes ; yes ; 9216 ; 256 ; 36 ; 256 ; 36 ; 9216 ; 1 ; None ; M9K_X25_Y14_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|firromH:rom|altsyncram:altsyncram_component|altsyncram_ja91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 256 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 4608 ; 256 ; 18 ; -- ; -- ; 4608 ; 1 ; coefL8H.mif ; M9K_X25_Y15_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 48 ; 512 ; 48 ; yes ; no ; yes ; yes ; 24576 ; 512 ; 48 ; 512 ; 48 ; 24576 ; 3 ; None ; M9K_X13_Y9_N0, M9K_X13_Y11_N0, M9K_X13_Y12_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 512 ; 48 ; 512 ; 48 ; yes ; no ; yes ; yes ; 24576 ; 512 ; 48 ; 512 ; 48 ; 24576 ; 3 ; None ; M9K_X13_Y5_N0, M9K_X13_Y4_N0, M9K_X13_Y1_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|firram36I_1024:ram|altsyncram:altsyncram_component|altsyncram_jin1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 128 ; 36 ; 128 ; 36 ; yes ; no ; yes ; yes ; 4608 ; 128 ; 36 ; 128 ; 36 ; 4608 ; 1 ; None ; M9K_X25_Y20_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|firromI_1024:rom|altsyncram:altsyncram_component|altsyncram_23b1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 1024 ; 18 ; -- ; -- ; yes ; yes ; -- ; -- ; 18432 ; 1024 ; 18 ; -- ; -- ; 18432 ; 2 ; ./Polyphase_FIR/coefI8_1024.mif ; M9K_X25_Y23_N0, M9K_X25_Y24_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 2048 ; 32 ; 2048 ; 32 ; yes ; no ; yes ; yes ; 65536 ; 2048 ; 32 ; 2048 ; 32 ; 65536 ; 8 ; None ; M9K_X13_Y19_N0, M9K_X13_Y20_N0, M9K_X13_Y18_N0, M9K_X13_Y21_N0, M9K_X13_Y22_N0, M9K_X13_Y23_N0, M9K_X13_Y24_N0, M9K_X13_Y25_N0 ; Don't care ; New data with NBE Read ; New data with NBE Read ; Off ; No ; No - Unknown ; +----------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+---------------------------------+--------------------------------------------------------------------------------------------------------------------------------+----------------------+------------------------+------------------------+----------+------------------------+---------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. @@ -15382,100 +15410,100 @@ RAM content values are presented in the following format: (Binary) (Octal) (Deci +------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ ; Name ; Mode ; Location ; Sign Representation ; Has Input Shift Register Chain ; Data A Input Register ; Data B Input Register ; Pipeline Register ; Output Register ; +------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ -; iambic:iambic_inst|lpm_mult:Mult0|mult_jbt:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y25_N2 ; ; No ; ; ; ; no ; -; iambic:iambic_inst|lpm_mult:Mult0|mult_jbt:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y25_N0 ; Unsigned ; ; no ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y8_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y8_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y12_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y12_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y8_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y8_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y3_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y3_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y10_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y10_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y5_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y5_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y6_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y6_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y1_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y1_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y23_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y23_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y21_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y21_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y18_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y18_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y17_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y17_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y16_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y16_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y14_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y14_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y20_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y20_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y15_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y15_N0 ; Signed ; ; yes ; yes ; no ; ; -; lpm_mult:Mult2|mult_igt:auto_generated|mac_out8 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y26_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult7 ; ; DSPMULT_X18_Y26_N0 ; Unsigned ; ; yes ; no ; no ; ; -; lpm_mult:Mult2|mult_igt:auto_generated|mac_out6 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y24_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult5 ; ; DSPMULT_X18_Y24_N0 ; Unsigned ; ; no ; yes ; no ; ; -; lpm_mult:Mult2|mult_igt:auto_generated|mac_out4 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y27_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult3 ; ; DSPMULT_X18_Y27_N0 ; Unsigned ; ; yes ; no ; no ; ; -; lpm_mult:Mult2|mult_igt:auto_generated|w507w[0] ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y28_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y28_N0 ; Unsigned ; ; yes ; no ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y7_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y7_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y11_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y11_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y9_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y9_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y4_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y4_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y13_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y13_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y2_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y2_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y4_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y4_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y1_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y1_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y24_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y24_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y22_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y22_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y19_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y19_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y18_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y18_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y13_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y13_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y11_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y11_N0 ; Signed ; ; yes ; yes ; no ; ; +; iambic:iambic_inst|lpm_mult:Mult0|mult_jbt:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y27_N2 ; ; No ; ; ; ; no ; +; iambic:iambic_inst|lpm_mult:Mult0|mult_jbt:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y27_N0 ; Unsigned ; ; no ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y3_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y3_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y6_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y6_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y7_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y7_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y5_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y5_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y7_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y7_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y9_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y9_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y8_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y8_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y1_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y1_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y16_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y16_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y18_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y18_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y16_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y16_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y11_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y11_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y13_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y13_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y11_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y11_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y18_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y18_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y13_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y13_N0 ; Signed ; ; yes ; yes ; no ; ; +; lpm_mult:Mult2|mult_igt:auto_generated|mac_out8 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y22_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult7 ; ; DSPMULT_X34_Y22_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult2|mult_igt:auto_generated|mac_out6 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y23_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult5 ; ; DSPMULT_X34_Y23_N0 ; Unsigned ; ; no ; yes ; no ; ; +; lpm_mult:Mult2|mult_igt:auto_generated|mac_out4 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y26_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult3 ; ; DSPMULT_X34_Y26_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult2|mult_igt:auto_generated|w507w[0] ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y25_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult2|mult_igt:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y25_N0 ; Unsigned ; ; yes ; no ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y4_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y4_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y5_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y5_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y4_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y4_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y6_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y6_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y10_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y10_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y8_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y8_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y9_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y9_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y2_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y2_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y15_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y15_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y17_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y17_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y15_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y15_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y12_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y12_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y14_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y14_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y12_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y12_N0 ; Signed ; ; yes ; yes ; no ; ; ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y19_N2 ; ; No ; ; ; ; no ; ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y19_N0 ; Signed ; ; yes ; yes ; no ; ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y12_N2 ; ; No ; ; ; ; no ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y12_N0 ; Signed ; ; yes ; yes ; no ; ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y7_N2 ; ; No ; ; ; ; no ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y7_N0 ; Signed ; ; yes ; yes ; no ; ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y6_N2 ; ; No ; ; ; ; no ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y6_N0 ; Signed ; ; yes ; yes ; no ; ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y14_N2 ; ; No ; ; ; ; no ; +; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y14_N0 ; Signed ; ; yes ; yes ; no ; ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult1|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y21_N2 ; ; No ; ; ; ; no ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult1|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y21_N0 ; Signed ; ; yes ; yes ; no ; ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult0|mult_56t:auto_generated|mac_out2 ; Simple Multiplier (18-bit) ; DSPOUT_X34_Y20_N2 ; ; No ; ; ; ; no ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|lpm_mult:Mult0|mult_56t:auto_generated|mac_mult1 ; ; DSPMULT_X34_Y20_N0 ; Signed ; ; yes ; yes ; no ; ; ; lpm_mult:Mult1|mult_igt:auto_generated|mac_out6 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y23_N2 ; ; No ; ; ; ; no ; ; lpm_mult:Mult1|mult_igt:auto_generated|mac_mult5 ; ; DSPMULT_X18_Y23_N0 ; Unsigned ; ; no ; yes ; no ; ; -; lpm_mult:Mult1|mult_igt:auto_generated|mac_out8 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y22_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult1|mult_igt:auto_generated|mac_mult7 ; ; DSPMULT_X18_Y22_N0 ; Unsigned ; ; yes ; no ; no ; ; -; lpm_mult:Mult1|mult_igt:auto_generated|mac_out4 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y21_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult1|mult_igt:auto_generated|mac_mult3 ; ; DSPMULT_X18_Y21_N0 ; Unsigned ; ; yes ; no ; no ; ; -; lpm_mult:Mult1|mult_igt:auto_generated|w507w[0] ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y20_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult1|mult_igt:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y20_N0 ; Unsigned ; ; yes ; no ; no ; ; -; lpm_mult:Mult0|mult_igt:auto_generated|mac_out6 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y16_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult5 ; ; DSPMULT_X18_Y16_N0 ; Unsigned ; ; no ; yes ; no ; ; -; lpm_mult:Mult0|mult_igt:auto_generated|mac_out8 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y17_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult7 ; ; DSPMULT_X18_Y17_N0 ; Unsigned ; ; yes ; no ; no ; ; -; lpm_mult:Mult0|mult_igt:auto_generated|mac_out4 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y14_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult3 ; ; DSPMULT_X18_Y14_N0 ; Unsigned ; ; yes ; no ; no ; ; -; lpm_mult:Mult0|mult_igt:auto_generated|w507w[0] ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y15_N2 ; ; No ; ; ; ; no ; -; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y15_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult1|mult_igt:auto_generated|mac_out8 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y24_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult1|mult_igt:auto_generated|mac_mult7 ; ; DSPMULT_X18_Y24_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult1|mult_igt:auto_generated|mac_out4 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y25_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult1|mult_igt:auto_generated|mac_mult3 ; ; DSPMULT_X18_Y25_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult1|mult_igt:auto_generated|w507w[0] ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y26_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult1|mult_igt:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y26_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult0|mult_igt:auto_generated|mac_out6 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y22_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult5 ; ; DSPMULT_X18_Y22_N0 ; Unsigned ; ; no ; yes ; no ; ; +; lpm_mult:Mult0|mult_igt:auto_generated|mac_out8 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y21_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult7 ; ; DSPMULT_X18_Y21_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult0|mult_igt:auto_generated|mac_out4 ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y20_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult3 ; ; DSPMULT_X18_Y20_N0 ; Unsigned ; ; yes ; no ; no ; ; +; lpm_mult:Mult0|mult_igt:auto_generated|w507w[0] ; Simple Multiplier (18-bit) ; DSPOUT_X18_Y19_N2 ; ; No ; ; ; ; no ; +; lpm_mult:Mult0|mult_igt:auto_generated|mac_mult1 ; ; DSPMULT_X18_Y19_N0 ; Unsigned ; ; yes ; no ; no ; ; +------------------------------------------------------------------------------------------------------+----------------------------+--------------------+---------------------+--------------------------------+-----------------------+-----------------------+-------------------+-----------------+ @@ -15484,181 +15512,182 @@ RAM content values are presented in the following format: (Binary) (Octal) (Deci +-----------------------+--------------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------------+ -; Block interconnects ; 22,715 / 47,787 ( 48 % ) ; -; C16 interconnects ; 201 / 1,804 ( 11 % ) ; -; C4 interconnects ; 9,675 / 31,272 ( 31 % ) ; -; Direct links ; 5,386 / 47,787 ( 11 % ) ; +; Block interconnects ; 22,647 / 47,787 ( 47 % ) ; +; C16 interconnects ; 171 / 1,804 ( 9 % ) ; +; C4 interconnects ; 9,960 / 31,272 ( 32 % ) ; +; Direct links ; 5,063 / 47,787 ( 11 % ) ; ; Global clocks ; 7 / 20 ( 35 % ) ; -; Local interconnects ; 4,921 / 15,408 ( 32 % ) ; -; R24 interconnects ; 225 / 1,775 ( 13 % ) ; -; R4 interconnects ; 14,798 / 41,310 ( 36 % ) ; +; Local interconnects ; 5,030 / 15,408 ( 33 % ) ; +; R24 interconnects ; 298 / 1,775 ( 17 % ) ; +; R4 interconnects ; 15,397 / 41,310 ( 37 % ) ; +-----------------------+--------------------------+ +-----------------------------------------------------------------------------+ ; LAB Logic Elements ; +---------------------------------------------+-------------------------------+ -; Number of Logic Elements (Average = 14.88) ; Number of LABs (Total = 955) ; +; Number of Logic Elements (Average = 14.89) ; Number of LABs (Total = 957) ; +---------------------------------------------+-------------------------------+ -; 1 ; 1 ; -; 2 ; 7 ; +; 1 ; 3 ; +; 2 ; 3 ; ; 3 ; 1 ; -; 4 ; 1 ; -; 5 ; 1 ; -; 6 ; 5 ; -; 7 ; 2 ; -; 8 ; 29 ; -; 9 ; 11 ; -; 10 ; 17 ; -; 11 ; 17 ; -; 12 ; 29 ; -; 13 ; 34 ; -; 14 ; 45 ; +; 4 ; 4 ; +; 5 ; 3 ; +; 6 ; 2 ; +; 7 ; 7 ; +; 8 ; 24 ; +; 9 ; 14 ; +; 10 ; 15 ; +; 11 ; 18 ; +; 12 ; 22 ; +; 13 ; 35 ; +; 14 ; 44 ; ; 15 ; 49 ; -; 16 ; 706 ; +; 16 ; 713 ; +---------------------------------------------+-------------------------------+ +--------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+-------------------------------+ -; LAB-wide Signals (Average = 1.85) ; Number of LABs (Total = 955) ; +; LAB-wide Signals (Average = 1.84) ; Number of LABs (Total = 957) ; +------------------------------------+-------------------------------+ -; 1 Async. clear ; 64 ; -; 1 Clock ; 853 ; -; 1 Clock enable ; 501 ; -; 1 Sync. clear ; 167 ; -; 1 Sync. load ; 108 ; -; 2 Clock enables ; 54 ; -; 2 Clocks ; 22 ; +; 1 Async. clear ; 65 ; +; 1 Clock ; 850 ; +; 1 Clock enable ; 508 ; +; 1 Sync. clear ; 158 ; +; 1 Sync. load ; 100 ; +; 2 Clock enables ; 55 ; +; 2 Clocks ; 23 ; +------------------------------------+-------------------------------+ +------------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+-------------------------------+ -; Number of Signals Sourced (Average = 24.46) ; Number of LABs (Total = 955) ; +; Number of Signals Sourced (Average = 24.51) ; Number of LABs (Total = 957) ; +----------------------------------------------+-------------------------------+ ; 0 ; 0 ; -; 1 ; 1 ; -; 2 ; 5 ; -; 3 ; 3 ; -; 4 ; 1 ; -; 5 ; 1 ; -; 6 ; 6 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 5 ; -; 10 ; 12 ; -; 11 ; 4 ; -; 12 ; 8 ; -; 13 ; 12 ; -; 14 ; 17 ; -; 15 ; 25 ; -; 16 ; 84 ; -; 17 ; 17 ; -; 18 ; 21 ; -; 19 ; 12 ; -; 20 ; 16 ; -; 21 ; 21 ; +; 1 ; 3 ; +; 2 ; 3 ; +; 3 ; 0 ; +; 4 ; 3 ; +; 5 ; 4 ; +; 6 ; 3 ; +; 7 ; 6 ; +; 8 ; 3 ; +; 9 ; 10 ; +; 10 ; 1 ; +; 11 ; 8 ; +; 12 ; 4 ; +; 13 ; 8 ; +; 14 ; 16 ; +; 15 ; 32 ; +; 16 ; 71 ; +; 17 ; 15 ; +; 18 ; 25 ; +; 19 ; 19 ; +; 20 ; 19 ; +; 21 ; 17 ; ; 22 ; 32 ; -; 23 ; 36 ; -; 24 ; 42 ; +; 23 ; 39 ; +; 24 ; 38 ; ; 25 ; 52 ; -; 26 ; 85 ; -; 27 ; 47 ; -; 28 ; 61 ; -; 29 ; 35 ; -; 30 ; 60 ; -; 31 ; 59 ; -; 32 ; 173 ; +; 26 ; 81 ; +; 27 ; 53 ; +; 28 ; 65 ; +; 29 ; 36 ; +; 30 ; 53 ; +; 31 ; 68 ; +; 32 ; 170 ; +----------------------------------------------+-------------------------------+ +----------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +--------------------------------------------------+-------------------------------+ -; Number of Signals Sourced Out (Average = 12.64) ; Number of LABs (Total = 955) ; +; Number of Signals Sourced Out (Average = 12.45) ; Number of LABs (Total = 957) ; +--------------------------------------------------+-------------------------------+ -; 0 ; 2 ; -; 1 ; 18 ; -; 2 ; 10 ; -; 3 ; 25 ; +; 0 ; 3 ; +; 1 ; 19 ; +; 2 ; 11 ; +; 3 ; 24 ; ; 4 ; 12 ; -; 5 ; 13 ; -; 6 ; 9 ; -; 7 ; 19 ; +; 5 ; 14 ; +; 6 ; 18 ; +; 7 ; 16 ; ; 8 ; 46 ; -; 9 ; 49 ; -; 10 ; 36 ; -; 11 ; 75 ; -; 12 ; 67 ; -; 13 ; 93 ; -; 14 ; 77 ; -; 15 ; 54 ; +; 9 ; 67 ; +; 10 ; 42 ; +; 11 ; 84 ; +; 12 ; 51 ; +; 13 ; 86 ; +; 14 ; 71 ; +; 15 ; 48 ; ; 16 ; 303 ; -; 17 ; 23 ; -; 18 ; 6 ; -; 19 ; 6 ; -; 20 ; 1 ; -; 21 ; 1 ; +; 17 ; 11 ; +; 18 ; 10 ; +; 19 ; 5 ; +; 20 ; 2 ; +; 21 ; 2 ; ; 22 ; 1 ; -; 23 ; 3 ; -; 24 ; 2 ; -; 25 ; 0 ; -; 26 ; 0 ; -; 27 ; 0 ; +; 23 ; 2 ; +; 24 ; 1 ; +; 25 ; 2 ; +; 26 ; 1 ; +; 27 ; 1 ; ; 28 ; 0 ; -; 29 ; 0 ; +; 29 ; 2 ; ; 30 ; 1 ; -; 31 ; 1 ; -; 32 ; 2 ; +; 31 ; 0 ; +; 32 ; 1 ; +--------------------------------------------------+-------------------------------+ +------------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+-------------------------------+ -; Number of Distinct Inputs (Average = 19.13) ; Number of LABs (Total = 955) ; +; Number of Distinct Inputs (Average = 18.98) ; Number of LABs (Total = 957) ; +----------------------------------------------+-------------------------------+ ; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 40 ; -; 4 ; 5 ; +; 1 ; 3 ; +; 2 ; 3 ; +; 3 ; 34 ; +; 4 ; 7 ; ; 5 ; 14 ; -; 6 ; 20 ; -; 7 ; 9 ; -; 8 ; 10 ; -; 9 ; 12 ; -; 10 ; 7 ; -; 11 ; 18 ; -; 12 ; 13 ; -; 13 ; 20 ; -; 14 ; 38 ; -; 15 ; 54 ; -; 16 ; 50 ; -; 17 ; 80 ; -; 18 ; 102 ; -; 19 ; 84 ; -; 20 ; 45 ; -; 21 ; 32 ; -; 22 ; 37 ; -; 23 ; 20 ; -; 24 ; 33 ; -; 25 ; 17 ; -; 26 ; 21 ; -; 27 ; 16 ; -; 28 ; 19 ; -; 29 ; 40 ; -; 30 ; 8 ; -; 31 ; 5 ; -; 32 ; 4 ; -; 33 ; 14 ; -; 34 ; 8 ; -; 35 ; 48 ; -; 36 ; 10 ; -; 37 ; 1 ; +; 6 ; 16 ; +; 7 ; 13 ; +; 8 ; 6 ; +; 9 ; 10 ; +; 10 ; 16 ; +; 11 ; 21 ; +; 12 ; 20 ; +; 13 ; 23 ; +; 14 ; 37 ; +; 15 ; 56 ; +; 16 ; 48 ; +; 17 ; 76 ; +; 18 ; 113 ; +; 19 ; 91 ; +; 20 ; 41 ; +; 21 ; 26 ; +; 22 ; 22 ; +; 23 ; 14 ; +; 24 ; 27 ; +; 25 ; 19 ; +; 26 ; 27 ; +; 27 ; 14 ; +; 28 ; 16 ; +; 29 ; 31 ; +; 30 ; 16 ; +; 31 ; 9 ; +; 32 ; 11 ; +; 33 ; 8 ; +; 34 ; 7 ; +; 35 ; 50 ; +; 36 ; 9 ; +; 37 ; 2 ; +; 38 ; 1 ; +----------------------------------------------+-------------------------------+ @@ -15814,122 +15843,123 @@ RAM content values are presented in the following format: (Binary) (Octal) (Deci +--------------------------------------------+----------------------------------+-------------------+ ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; +--------------------------------------------+----------------------------------+-------------------+ -; I/O ; ad9866_clk ; 311.6 ; -; I/O ; spi_sck ; 45.6 ; -; clk_10mhz ; ad9866:ad9866_inst|dut1_pc[0] ; 34.8 ; -; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 17.9 ; -; clk_10mhz,spi_slave:spi_slave_rx_inst|done ; ad9866:ad9866_inst|dut1_pc[0] ; 16.9 ; +; I/O ; ad9866_clk ; 308.7 ; +; I/O ; spi_sck ; 47.1 ; +; clk_10mhz ; ad9866:ad9866_inst|dut1_pc[0] ; 43.2 ; +; clk_10mhz,spi_slave:spi_slave_rx_inst|done ; ad9866:ad9866_inst|dut1_pc[0] ; 22.4 ; +; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 14.5 ; +; ad9866_clk ; ad9866_clk ; 9.3 ; +--------------------------------------------+----------------------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using the TimeQuest Timing Analyzer. -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; 4.877 ; -; spi_ce[0] ; spi_slave:spi_slave_rx_inst|treg[15] ; 3.884 ; -; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[47] ; 3.561 ; -; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|datain[6] ; 3.110 ; -; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|datain[6] ; 3.110 ; -; ad9866:ad9866_inst|sen_n ; ad9866:ad9866_inst|datain[6] ; 3.110 ; -; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|datain[6] ; 3.110 ; -; spi_mosi ; spi_slave:spi_slave_rx2_inst|rdata[0] ; 2.911 ; -; rx_gain[2] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; prev_tx_gain[0] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; tx_gain[1] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; prev_tx_gain[1] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; tx_gain[0] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; tx_gain[3] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; prev_tx_gain[3] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; tx_gain[2] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; prev_tx_gain[2] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; tx_gain[5] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; prev_tx_gain[5] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; tx_gain[4] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; prev_tx_gain[4] ; ad9866:ad9866_inst|datain[2] ; 2.597 ; -; rx_gain[3] ; ad9866:ad9866_inst|datain[3] ; 2.580 ; -; rx_gain[4] ; ad9866:ad9866_inst|datain[4] ; 2.443 ; -; rx_gain[5] ; ad9866:ad9866_inst|datain[5] ; 2.443 ; -; rx_gain[0] ; ad9866:ad9866_inst|datain[0] ; 2.392 ; -; rx_gain[1] ; ad9866:ad9866_inst|datain[1] ; 1.909 ; -; spi_slave:spi_slave_rx_inst|done ; rx_gain[3] ; 1.811 ; -; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|datain[6] ; 1.555 ; -; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|datain[6] ; 1.555 ; -; ad9866_clk ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[0] ; 1.546 ; -; spi_slave:spi_slave_rx_inst|nb[6] ; spi_slave:spi_slave_rx_inst|rdata[16] ; 1.068 ; -; spi_slave:spi_slave_rx_inst|nb[5] ; spi_slave:spi_slave_rx_inst|rdata[16] ; 1.068 ; -; spi_slave:spi_slave_rx_inst|nb[4] ; spi_slave:spi_slave_rx_inst|rdata[16] ; 1.068 ; -; spi_slave:spi_slave_rx_inst|nb[3] ; spi_slave:spi_slave_rx_inst|rdata[16] ; 1.068 ; -; spi_slave:spi_slave_rx_inst|nb[2] ; spi_slave:spi_slave_rx_inst|rdata[16] ; 1.068 ; -; spi_slave:spi_slave_rx_inst|nb[1] ; spi_slave:spi_slave_rx_inst|rdata[16] ; 1.068 ; -; spi_slave:spi_slave_rx_inst|nb[0] ; spi_slave:spi_slave_rx_inst|rdata[16] ; 1.068 ; -; spi_slave:spi_slave_rx2_inst|nb[6] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; 0.775 ; -; spi_slave:spi_slave_rx2_inst|nb[5] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; 0.775 ; -; spi_slave:spi_slave_rx2_inst|nb[4] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; 0.775 ; -; spi_slave:spi_slave_rx2_inst|nb[3] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; 0.775 ; -; spi_slave:spi_slave_rx2_inst|nb[2] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; 0.775 ; -; spi_slave:spi_slave_rx2_inst|nb[1] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; 0.775 ; -; spi_slave:spi_slave_rx2_inst|nb[0] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; 0.775 ; -; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; 0.723 ; -; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; 0.691 ; -; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; 0.676 ; -; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; 0.676 ; -; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; 0.676 ; -; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; 0.670 ; -; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; 0.634 ; -; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; 0.634 ; -; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; 0.628 ; -; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; 0.628 ; -; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; 0.628 ; -; ad9866_adio[10] ; adcpipe[1][10] ; 0.505 ; -; ad9866_adio[8] ; adcpipe[1][8] ; 0.503 ; -; spi_sck ; spi_slave:spi_slave_rx_inst|rreg[17] ; 0.445 ; -; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; 0.407 ; -; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; 0.407 ; -; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; 0.407 ; -; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; 0.362 ; -; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; 0.362 ; -; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; 0.362 ; -; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; 0.362 ; -; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; 0.360 ; -; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; 0.346 ; -; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; 0.346 ; -; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; 0.346 ; -; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; 0.338 ; -; clk_10mhz ; prev_rx_gain[5] ; 0.293 ; -; ad9866_adio[1] ; adcpipe[0][1] ; 0.239 ; -; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; 0.239 ; -; ad9866_adio[6] ; adcpipe[1][6] ; 0.201 ; -; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; 0.137 ; -; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; 0.137 ; -; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a31~porta_address_reg0 ; 0.120 ; -; ad9866_adio[2] ; adcpipe[1][2] ; 0.120 ; -; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a31~porta_address_reg0 ; 0.111 ; -; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; 0.105 ; -; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; 0.101 ; -; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; 0.101 ; -; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; 0.092 ; -; ad9866_adio[3] ; adcpipe[0][3] ; 0.082 ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddrB ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; 0.054 ; -; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd2 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; 0.054 ; -; ad9866_adio[0] ; adcpipe[1][0] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0.052 ; -; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0.052 ; -; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0.052 ; -; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[23] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[23] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[23] ; 0.052 ; -; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[23] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[23] ; 0.052 ; -+----------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+-------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++----------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++----------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; 5.698 ; +; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[14] ; 3.928 ; +; rx_gain[1] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; prev_tx_gain[0] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; tx_gain[1] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; prev_tx_gain[1] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; tx_gain[0] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; tx_gain[3] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; prev_tx_gain[3] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; tx_gain[2] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; prev_tx_gain[2] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; tx_gain[5] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; prev_tx_gain[5] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; tx_gain[4] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; prev_tx_gain[4] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; ad9866:ad9866_inst|sen_n ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|datain[1] ; 3.672 ; +; spi_ce[0] ; spi_slave:spi_slave_rx_inst|treg[30] ; 3.581 ; +; rx_gain[5] ; ad9866:ad9866_inst|datain[5] ; 3.528 ; +; rx_gain[3] ; ad9866:ad9866_inst|datain[3] ; 3.105 ; +; rx_gain[4] ; ad9866:ad9866_inst|datain[4] ; 3.046 ; +; spi_mosi ; spi_slave:spi_slave_rx_inst|rdata[0] ; 2.971 ; +; rx_gain[0] ; ad9866:ad9866_inst|datain[0] ; 2.956 ; +; rx_gain[2] ; ad9866:ad9866_inst|datain[2] ; 2.956 ; +; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|datain[1] ; 1.669 ; +; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|datain[1] ; 1.669 ; +; ad9866_clk ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|reg_coef[17] ; 1.392 ; +; spi_slave:spi_slave_rx_inst|done ; tx_gain[5] ; 1.340 ; +; spi_slave:spi_slave_rx2_inst|nb[6] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; 1.159 ; +; spi_slave:spi_slave_rx2_inst|nb[5] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; 1.159 ; +; spi_slave:spi_slave_rx2_inst|nb[4] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; 1.159 ; +; spi_slave:spi_slave_rx2_inst|nb[3] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; 1.159 ; +; spi_slave:spi_slave_rx2_inst|nb[2] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; 1.159 ; +; spi_slave:spi_slave_rx2_inst|nb[1] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; 1.159 ; +; spi_slave:spi_slave_rx2_inst|nb[0] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; 1.159 ; +; spi_slave:spi_slave_rx_inst|nb[6] ; spi_slave:spi_slave_rx_inst|rdata[40] ; 1.064 ; +; spi_slave:spi_slave_rx_inst|nb[5] ; spi_slave:spi_slave_rx_inst|rdata[40] ; 1.064 ; +; spi_slave:spi_slave_rx_inst|nb[4] ; spi_slave:spi_slave_rx_inst|rdata[40] ; 1.064 ; +; spi_slave:spi_slave_rx_inst|nb[3] ; spi_slave:spi_slave_rx_inst|rdata[40] ; 1.064 ; +; spi_slave:spi_slave_rx_inst|nb[2] ; spi_slave:spi_slave_rx_inst|rdata[40] ; 1.064 ; +; spi_slave:spi_slave_rx_inst|nb[1] ; spi_slave:spi_slave_rx_inst|rdata[40] ; 1.064 ; +; spi_slave:spi_slave_rx_inst|nb[0] ; spi_slave:spi_slave_rx_inst|rdata[40] ; 1.064 ; +; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; 0.912 ; +; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; 0.758 ; +; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; 0.551 ; +; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; 0.533 ; +; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; 0.533 ; +; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; 0.533 ; +; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; 0.530 ; +; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; 0.444 ; +; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; 0.437 ; +; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; 0.419 ; +; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; 0.419 ; +; spi_sck ; spi_slave:spi_slave_rx_inst|rreg[5] ; 0.413 ; +; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; 0.394 ; +; ad9866_adio[8] ; adcpipe[0][8] ; 0.312 ; +; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; 0.310 ; +; ad9866_adio[10] ; adcpipe[0][10] ; 0.301 ; +; ad9866_adio[0] ; adcpipe[1][0] ; 0.289 ; +; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; 0.259 ; +; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; 0.259 ; +; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; 0.259 ; +; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; 0.228 ; +; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; 0.228 ; +; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; 0.228 ; +; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; 0.228 ; +; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|sub_parity5a[0] ; 0.217 ; +; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; 0.204 ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a11~porta_address_reg0 ; 0.194 ; +; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; 0.186 ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a11~porta_address_reg0 ; 0.185 ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a11~porta_address_reg0 ; 0.185 ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a11~porta_address_reg0 ; 0.185 ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a11~porta_address_reg0 ; 0.185 ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a11~porta_address_reg0 ; 0.185 ; +; ad9866_adio[11] ; adcpipe[0][11] ; 0.174 ; +; ad9866_adio[4] ; adcpipe[0][4] ; 0.150 ; +; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; 0.143 ; +; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_1 ; 0.113 ; +; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; 0.113 ; +; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; 0.113 ; +; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|sub_parity5a[0] ; 0.102 ; +; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; 0.099 ; +; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; 0.099 ; +; clk_10mhz ; prev_rx_gain[5] ; 0.097 ; +; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; 0.097 ; +; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; 0.090 ; +; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a31~porta_address_reg0 ; 0.079 ; +; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a31~porta_address_reg0 ; 0.079 ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddrB ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; 0.065 ; +; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd2 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; 0.065 ; +; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0.063 ; +; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0.063 ; +; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0.063 ; +; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[35] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[23] ; 0.063 ; +; receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[0].cic_integrator_inst|out_data[24] ; 0.063 ; +; receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst|out_data[24] ; 0.063 ; +; receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst|out_data[24] ; 0.063 ; ++----------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ Note: This table only shows the top 100 path(s) that have the largest delay added for hold. @@ -16047,24 +16077,24 @@ Info (176235): Finished register packing Extra Info (176220): Created 434 register duplicates Info (128000): Starting physical synthesis optimizations for speed Info (128001): Physical synthesis optimizations for speed complete: elapsed time is 00:00:05 -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:19 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:20 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:09 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:08 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:01:51 +Info (170192): Fitter placement operations ending: elapsed time is 00:01:48 Info (170193): Fitter routing operations beginning Info (170089): 5e+02 ns of routing delay (approximately 1.2% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 28% of the available device resources - Info (170196): Router estimated peak interconnect usage is 41% of the available device resources in the region that extends from location X21_Y10 to location X30_Y19 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:35 -Info (11888): Total time spent on timing analysis during the Fitter is 29.38 seconds. +Info (170195): Router estimated average interconnect usage is 30% of the available device resources + Info (170196): Router estimated peak interconnect usage is 39% of the available device resources in the region that extends from location X10_Y10 to location X20_Y19 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:37 +Info (11888): Total time spent on timing analysis during the Fitter is 27.67 seconds. Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:11 +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:12 Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. Warning (169177): 23 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone 10 LP Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. Info (169178): Pin spi_miso uses I/O standard 3.3-V LVCMOS at 59 File: C:/dev/git/Radioberry-2.x/firmware/rtl/radioberry.v Line: 52 @@ -16092,10 +16122,10 @@ Warning (169177): 23 pins must meet Intel FPGA requirements for 3.3-, 3.0-, and Info (169178): Pin ad9866_sdo uses I/O standard 3.3-V LVCMOS at 67 File: C:/dev/git/Radioberry-2.x/firmware/rtl/radioberry.v Line: 43 Info (144001): Generated suppressed messages file C:/dev/git/Radioberry-2.x/firmware/output_files/radioberry-10CL016.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 10 warnings - Info: Peak virtual memory: 1433 megabytes - Info: Processing ended: Sat Feb 24 19:55:03 2018 - Info: Elapsed time: 00:03:24 - Info: Total CPU time (on all processors): 00:05:26 + Info: Peak virtual memory: 1427 megabytes + Info: Processing ended: Fri Mar 09 21:37:54 2018 + Info: Elapsed time: 00:03:23 + Info: Total CPU time (on all processors): 00:05:12 +----------------------------+ diff --git a/firmware/output_files/radioberry-10CL016.fit.summary b/firmware/output_files/radioberry-10CL016.fit.summary index 86e51ba..3f0f842 100644 --- a/firmware/output_files/radioberry-10CL016.fit.summary +++ b/firmware/output_files/radioberry-10CL016.fit.summary @@ -1,14 +1,14 @@ -Fitter Status : Successful - Sat Feb 24 19:54:59 2018 +Fitter Status : Successful - Fri Mar 09 21:37:51 2018 Quartus Prime Version : 17.0.2 Build 602 07/19/2017 SJ Lite Edition Revision Name : radioberry-10CL016 Top-level Entity Name : radioberry Family : Cyclone 10 LP Device : 10CL016YE144C8G Timing Models : Final -Total logic elements : 14,210 / 15,408 ( 92 % ) - Total combinational functions : 11,268 / 15,408 ( 73 % ) - Dedicated logic registers : 10,886 / 15,408 ( 71 % ) -Total registers : 10886 +Total logic elements : 14,248 / 15,408 ( 92 % ) + Total combinational functions : 11,301 / 15,408 ( 73 % ) + Dedicated logic registers : 10,913 / 15,408 ( 71 % ) +Total registers : 10913 Total pins : 48 / 79 ( 61 % ) Total virtual pins : 0 Total memory bits : 374,912 / 516,096 ( 73 % ) diff --git a/firmware/output_files/radioberry-10CL016.flow.rpt b/firmware/output_files/radioberry-10CL016.flow.rpt index be506c9..415e65c 100644 --- a/firmware/output_files/radioberry-10CL016.flow.rpt +++ b/firmware/output_files/radioberry-10CL016.flow.rpt @@ -1,5 +1,5 @@ Flow report for radioberry-10CL016 -Sat Feb 24 19:55:21 2018 +Fri Mar 09 21:38:13 2018 Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition @@ -41,17 +41,17 @@ agreement for further details. +----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Sat Feb 24 19:55:07 2018 ; +; Flow Status ; Successful - Fri Mar 09 21:37:58 2018 ; ; Quartus Prime Version ; 17.0.2 Build 602 07/19/2017 SJ Lite Edition ; ; Revision Name ; radioberry-10CL016 ; ; Top-level Entity Name ; radioberry ; ; Family ; Cyclone 10 LP ; ; Device ; 10CL016YE144C8G ; ; Timing Models ; Final ; -; Total logic elements ; 14,210 / 15,408 ( 92 % ) ; -; Total combinational functions ; 11,268 / 15,408 ( 73 % ) ; -; Dedicated logic registers ; 10,886 / 15,408 ( 71 % ) ; -; Total registers ; 10886 ; +; Total logic elements ; 14,248 / 15,408 ( 92 % ) ; +; Total combinational functions ; 11,301 / 15,408 ( 73 % ) ; +; Dedicated logic registers ; 10,913 / 15,408 ( 71 % ) ; +; Total registers ; 10913 ; ; Total pins ; 48 / 79 ( 61 % ) ; ; Total virtual pins ; 0 ; ; Total memory bits ; 374,912 / 516,096 ( 73 % ) ; @@ -65,7 +65,7 @@ agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 02/24/2018 19:50:41 ; +; Start date & time ; 03/09/2018 21:33:27 ; ; Main task ; Compilation ; ; Revision Name ; radioberry-10CL016 ; +-------------------+---------------------+ @@ -79,7 +79,7 @@ agreement for further details. ; ALLOW_REGISTER_DUPLICATION ; Off ; On ; -- ; -- ; ; ALLOW_REGISTER_MERGING ; Off ; On ; -- ; -- ; ; ALLOW_REGISTER_RETIMING ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 220295161909995.151949824103568 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 220295161909995.152062760612452 ; -- ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; @@ -114,11 +114,11 @@ agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:53 ; 1.0 ; 953 MB ; 00:01:15 ; -; Fitter ; 00:03:20 ; 1.1 ; 1433 MB ; 00:05:23 ; -; Assembler ; 00:00:03 ; 1.0 ; 655 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:13 ; 1.3 ; 853 MB ; 00:00:15 ; -; Total ; 00:04:29 ; -- ; -- ; 00:06:55 ; +; Analysis & Synthesis ; 00:01:01 ; 1.0 ; 953 MB ; 00:01:15 ; +; Fitter ; 00:03:20 ; 1.1 ; 1427 MB ; 00:05:09 ; +; Assembler ; 00:00:02 ; 1.0 ; 658 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:13 ; 1.3 ; 854 MB ; 00:00:15 ; +; Total ; 00:04:36 ; -- ; -- ; 00:06:41 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/firmware/output_files/radioberry-10CL016.map.rpt b/firmware/output_files/radioberry-10CL016.map.rpt index 757f177..201e336 100644 --- a/firmware/output_files/radioberry-10CL016.map.rpt +++ b/firmware/output_files/radioberry-10CL016.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for radioberry-10CL016 -Sat Feb 24 19:51:38 2018 +Fri Mar 09 21:34:30 2018 Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition @@ -334,15 +334,15 @@ agreement for further details. +----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Feb 24 19:51:37 2018 ; +; Analysis & Synthesis Status ; Successful - Fri Mar 09 21:34:29 2018 ; ; Quartus Prime Version ; 17.0.2 Build 602 07/19/2017 SJ Lite Edition ; ; Revision Name ; radioberry-10CL016 ; ; Top-level Entity Name ; radioberry ; ; Family ; Cyclone 10 LP ; -; Total logic elements ; 15,517 ; -; Total combinational functions ; 11,268 ; -; Dedicated logic registers ; 11,875 ; -; Total registers ; 11875 ; +; Total logic elements ; 15,550 ; +; Total combinational functions ; 11,301 ; +; Dedicated logic registers ; 11,902 ; +; Total registers ; 11902 ; ; Total pins ; 48 ; ; Total virtual pins ; 0 ; ; Total memory bits ; 374,912 ; @@ -451,7 +451,7 @@ agreement for further details. ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 3.1% ; +; Processor 2 ; 2.7% ; +----------------------------+-------------+ @@ -567,20 +567,20 @@ agreement for further details. +---------------------------------------------+------------------+ ; Resource ; Usage ; +---------------------------------------------+------------------+ -; Estimated Total logic elements ; 15,517 ; +; Estimated Total logic elements ; 15,550 ; ; ; ; -; Total combinational functions ; 11268 ; +; Total combinational functions ; 11301 ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1188 ; -; -- 3 input functions ; 7017 ; -; -- <=2 input functions ; 3063 ; +; -- 4 input functions ; 1189 ; +; -- 3 input functions ; 7026 ; +; -- <=2 input functions ; 3086 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 3100 ; -; -- arithmetic mode ; 8168 ; +; -- normal mode ; 3108 ; +; -- arithmetic mode ; 8193 ; ; ; ; -; Total registers ; 11875 ; -; -- Dedicated logic registers ; 11875 ; +; Total registers ; 11902 ; +; -- Dedicated logic registers ; 11902 ; ; -- I/O registers ; 0 ; ; ; ; ; I/O pins ; 48 ; @@ -592,8 +592,8 @@ agreement for further details. ; -- PLLs ; 1 ; ; ; ; ; Maximum fan-out node ; ad9866_clk~input ; -; Maximum fan-out ; 12237 ; -; Total fan-out ; 87184 ; +; Maximum fan-out ; 12264 ; +; Total fan-out ; 87314 ; ; Average fan-out ; 3.57 ; +---------------------------------------------+------------------+ @@ -603,12 +603,12 @@ agreement for further details. +-------------------------------------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; +-------------------------------------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------+---------------------+--------------+ -; |radioberry ; 11268 (138) ; 11875 (188) ; 374912 ; 94 ; 0 ; 47 ; 48 ; 0 ; |radioberry ; radioberry ; work ; +; |radioberry ; 11301 (138) ; 11902 (188) ; 374912 ; 94 ; 0 ; 47 ; 48 ; 0 ; |radioberry ; radioberry ; work ; ; |PLL_IAMBIC:PLL_IAMBIC_inst| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|PLL_IAMBIC:PLL_IAMBIC_inst ; PLL_IAMBIC ; work ; ; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component ; altpll ; work ; ; |PLL_IAMBIC_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|PLL_IAMBIC:PLL_IAMBIC_inst|altpll:altpll_component|PLL_IAMBIC_altpll:auto_generated ; PLL_IAMBIC_altpll ; work ; ; |ad9866:ad9866_inst| ; 92 (92) ; 29 (29) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|ad9866:ad9866_inst ; ad9866 ; work ; -; |filter:filter_inst| ; 59 (59) ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|filter:filter_inst ; filter ; work ; +; |filter:filter_inst| ; 58 (58) ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|filter:filter_inst ; filter ; work ; ; |iambic:iambic_inst| ; 654 (95) ; 30 (30) ; 0 ; 2 ; 0 ; 1 ; 0 ; 0 ; |radioberry|iambic:iambic_inst ; iambic ; work ; ; |lpm_divide:Div0| ; 191 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|iambic:iambic_inst|lpm_divide:Div0 ; lpm_divide ; work ; ; |lpm_divide_tim:auto_generated| ; 191 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|iambic:iambic_inst|lpm_divide:Div0|lpm_divide_tim:auto_generated ; lpm_divide_tim ; work ; @@ -630,7 +630,7 @@ agreement for further details. ; |profile_ROM:profile_ROM_inst| ; 0 (0) ; 0 (0) ; 16000 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|profile:profile_CW|profile_ROM:profile_ROM_inst ; profile_ROM ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 16000 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component ; altsyncram ; work ; ; |altsyncram_6f91:auto_generated| ; 0 (0) ; 0 (0) ; 16000 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated ; altsyncram_6f91 ; work ; -; |receiver:receiver_rx2_inst| ; 3352 (0) ; 3960 (0) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst ; receiver ; work ; +; |receiver:receiver_rx2_inst| ; 3354 (0) ; 3960 (0) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst ; receiver ; work ; ; |cic:cic_inst_I2| ; 189 (39) ; 234 (17) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2 ; cic ; work ; ; |cic_comb:cic_stages[0].cic_comb_inst| ; 25 (25) ; 50 (50) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[1].cic_comb_inst| ; 25 (25) ; 50 (50) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; @@ -646,7 +646,7 @@ agreement for further details. ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; ; |cordic:cordic_inst| ; 1037 (1037) ; 681 (681) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|cordic:cordic_inst ; cordic ; work ; -; |firX8R8:fir2| ; 928 (272) ; 1477 (61) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2 ; firX8R8 ; work ; +; |firX8R8:fir2| ; 930 (274) ; 1477 (61) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2 ; firX8R8 ; work ; ; |fir256:A| ; 82 (82) ; 177 (177) ; 13824 ; 4 ; 0 ; 2 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 9216 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 9216 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; @@ -757,7 +757,7 @@ agreement for further details. ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[3].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[3].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[4].cic_integrator_inst| ; 43 (43) ; 43 (43) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_integrator:cic_stages[4].cic_integrator_inst ; cic_integrator ; work ; -; |receiver:receiver_rx_inst| ; 3352 (0) ; 3960 (0) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst ; receiver ; work ; +; |receiver:receiver_rx_inst| ; 3356 (0) ; 3960 (0) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst ; receiver ; work ; ; |cic:cic_inst_I2| ; 189 (39) ; 234 (17) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2 ; cic ; work ; ; |cic_comb:cic_stages[0].cic_comb_inst| ; 25 (25) ; 50 (50) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst ; cic_comb ; work ; ; |cic_comb:cic_stages[1].cic_comb_inst| ; 25 (25) ; 50 (50) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_I2|cic_comb:cic_stages[1].cic_comb_inst ; cic_comb ; work ; @@ -773,7 +773,7 @@ agreement for further details. ; |cic_integrator:cic_stages[1].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[1].cic_integrator_inst ; cic_integrator ; work ; ; |cic_integrator:cic_stages[2].cic_integrator_inst| ; 25 (25) ; 25 (25) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|cic:cic_inst_Q2|cic_integrator:cic_stages[2].cic_integrator_inst ; cic_integrator ; work ; ; |cordic:cordic_inst| ; 1037 (1037) ; 681 (681) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|cordic:cordic_inst ; cordic ; work ; -; |firX8R8:fir2| ; 928 (272) ; 1477 (61) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2 ; firX8R8 ; work ; +; |firX8R8:fir2| ; 932 (276) ; 1477 (61) ; 110592 ; 32 ; 0 ; 16 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2 ; firX8R8 ; work ; ; |fir256:A| ; 82 (82) ; 177 (177) ; 13824 ; 4 ; 0 ; 2 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A ; fir256 ; work ; ; |firram36:ram| ; 0 (0) ; 0 (0) ; 9216 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram ; firram36 ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 9216 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|firram36:ram|altsyncram:altsyncram_component ; altsyncram ; work ; @@ -905,7 +905,7 @@ agreement for further details. ; |cmpr_g76:wrempty_eq_comp| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|cmpr_g76:wrempty_eq_comp ; cmpr_g76 ; work ; ; |spi_slave:spi_slave_rx2_inst| ; 63 (63) ; 151 (151) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|spi_slave:spi_slave_rx2_inst ; spi_slave ; work ; ; |spi_slave:spi_slave_rx_inst| ; 65 (65) ; 137 (137) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|spi_slave:spi_slave_rx_inst ; spi_slave ; work ; -; |transmitter:transmitter_inst| ; 2981 (46) ; 2965 (76) ; 23040 ; 4 ; 0 ; 2 ; 0 ; 0 ; |radioberry|transmitter:transmitter_inst ; transmitter ; work ; +; |transmitter:transmitter_inst| ; 3009 (74) ; 2992 (103) ; 23040 ; 4 ; 0 ; 2 ; 0 ; 0 ; |radioberry|transmitter:transmitter_inst ; transmitter ; work ; ; |CicInterpM5:in2| ; 1078 (1078) ; 1610 (1610) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|transmitter:transmitter_inst|CicInterpM5:in2 ; CicInterpM5 ; work ; ; |FirInterp8_1024:fi| ; 131 (131) ; 227 (227) ; 23040 ; 4 ; 0 ; 2 ; 0 ; 0 ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi ; FirInterp8_1024 ; work ; ; |firram36I_1024:ram| ; 0 (0) ; 0 (0) ; 4608 ; 0 ; 0 ; 0 ; 0 ; 0 ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|firram36I_1024:ram ; firram36I_1024 ; work ; @@ -1557,7 +1557,7 @@ Note: All latches listed above may not be present at the end of synthesis due to +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 11875 ; +; Total registers ; 11902 ; ; Number of registers using Synchronous Clear ; 2031 ; ; Number of registers using Synchronous Load ; 861 ; ; Number of registers using Asynchronous Clear ; 685 ; @@ -1611,85 +1611,85 @@ Note: All latches listed above may not be present at the end of synthesis due to +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------+ -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut2_data[13] ; -; 3:1 ; 13 bits ; 26 LEs ; 13 LEs ; 13 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut2_data[11] ; -; 3:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|tx_IQ_data[7] ; -; 3:1 ; 18 bits ; 36 LEs ; 36 LEs ; 0 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][14] ; -; 3:1 ; 18 bits ; 36 LEs ; 36 LEs ; 0 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][20] ; -; 3:1 ; 13 bits ; 26 LEs ; 26 LEs ; 0 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|cordic:cordic_inst|X[0][16] ; -; 3:1 ; 13 bits ; 26 LEs ; 26 LEs ; 0 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][15] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Rmult[30] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[35] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Rmult[35] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Rmult[35] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Rmult[33] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Raccum[13] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[0] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Iaccum[3] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Imult[26] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[20] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[30] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[20] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Iaccum[20] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[21] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[33] ; -; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[18] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|caddr[5] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|raddr[7] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|counter[3] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|raddr[6] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|caddr[3] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[7] ; +; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut2_data[15] ; +; 3:1 ; 13 bits ; 26 LEs ; 13 LEs ; 13 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut2_data[6] ; +; 3:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|tx_IQ_data[0] ; +; 3:1 ; 18 bits ; 36 LEs ; 36 LEs ; 0 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][21] ; +; 3:1 ; 18 bits ; 36 LEs ; 36 LEs ; 0 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][16] ; +; 3:1 ; 13 bits ; 26 LEs ; 26 LEs ; 0 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|cordic:cordic_inst|X[0][11] ; +; 3:1 ; 13 bits ; 26 LEs ; 26 LEs ; 0 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|cordic:cordic_inst|X[0][14] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|Raccum[20] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Rmult[30] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|Imult[13] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|Raccum[10] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|Iaccum[22] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|Imult[21] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[23] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|Rmult[28] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|Raccum[12] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|Iaccum[19] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Imult[24] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|Rmult[27] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|Raccum[22] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|Iaccum[10] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|Imult[14] ; +; 3:1 ; 98 bits ; 196 LEs ; 98 LEs ; 98 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|Iaccum[19] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|counter[8] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:A|raddr[4] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|counter[7] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|raddr[7] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|counter[7] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:C|raddr[4] ; ; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|counter[6] ; ; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:D|raddr[6] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[7] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[4] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|counter[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[6] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|counter[7] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[1] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|counter[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[2] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|caddr[3] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|counter[1] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:E|raddr[7] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|caddr[7] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|raddr[7] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|caddr[2] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|raddr[0] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|caddr[2] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|fir256:H|raddr[1] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|counter[1] ; ; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:A|raddr[3] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|caddr[2] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[6] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[6] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[1] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|counter[1] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[2] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|caddr[1] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|counter[8] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:B|raddr[1] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|caddr[2] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|raddr[2] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|caddr[5] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:D|raddr[4] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|counter[3] ; ; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:E|raddr[2] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|counter[7] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|raddr[1] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[6] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[3] ; -; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|counter[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|raddr[0] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut2_bitcount[2] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|wstate[0] ; -; 3:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut1_pc[5] ; -; 4:1 ; 18 bits ; 36 LEs ; 18 LEs ; 18 LEs ; Yes ; |radioberry|profile:profile_CW|hang_timer[9] ; -; 4:1 ; 108 bits ; 216 LEs ; 108 LEs ; 108 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[23] ; -; 4:1 ; 18 bits ; 36 LEs ; 18 LEs ; 18 LEs ; Yes ; |radioberry|profile:profile_CW|timer[12] ; -; 7:1 ; 48 bits ; 192 LEs ; 48 LEs ; 144 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|Iacc[13] ; -; 7:1 ; 48 bits ; 192 LEs ; 48 LEs ; 144 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|Racc[21] ; -; 6:1 ; 3 bits ; 12 LEs ; 12 LEs ; 0 LEs ; Yes ; |radioberry|filter:filter_inst|selected_filter[5] ; -; 7:1 ; 7 bits ; 28 LEs ; 7 LEs ; 21 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[4] ; -; 7:1 ; 7 bits ; 28 LEs ; 7 LEs ; 21 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[2] ; -; 7:1 ; 10 bits ; 40 LEs ; 20 LEs ; 20 LEs ; Yes ; |radioberry|profile:profile_CW|profile_count[5] ; -; 7:1 ; 18 bits ; 72 LEs ; 18 LEs ; 54 LEs ; Yes ; |radioberry|iambic:iambic_inst|delay[16] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|caddr[5] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|raddr[6] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|counter[2] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:G|raddr[2] ; +; 3:1 ; 17 bits ; 34 LEs ; 17 LEs ; 17 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|counter[5] ; +; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|fir256:H|raddr[6] ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut2_bitcount[1] ; +; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|wstate[4] ; +; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|wstate[4] ; +; 3:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |radioberry|ad9866:ad9866_inst|dut1_pc[0] ; +; 4:1 ; 18 bits ; 36 LEs ; 18 LEs ; 18 LEs ; Yes ; |radioberry|profile:profile_CW|hang_timer[10] ; +; 4:1 ; 108 bits ; 216 LEs ; 108 LEs ; 108 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|Rmult[15] ; +; 4:1 ; 18 bits ; 36 LEs ; 18 LEs ; 18 LEs ; Yes ; |radioberry|profile:profile_CW|timer[3] ; +; 7:1 ; 48 bits ; 192 LEs ; 48 LEs ; 144 LEs ; Yes ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|Racc[10] ; +; 7:1 ; 48 bits ; 192 LEs ; 48 LEs ; 144 LEs ; Yes ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|Racc[22] ; +; 6:1 ; 3 bits ; 12 LEs ; 12 LEs ; 0 LEs ; Yes ; |radioberry|filter:filter_inst|selected_filter[1] ; +; 7:1 ; 7 bits ; 28 LEs ; 7 LEs ; 21 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|caddr[9] ; +; 7:1 ; 7 bits ; 28 LEs ; 7 LEs ; 21 LEs ; Yes ; |radioberry|transmitter:transmitter_inst|FirInterp8_1024:fi|raddr[1] ; +; 7:1 ; 10 bits ; 40 LEs ; 20 LEs ; 20 LEs ; Yes ; |radioberry|profile:profile_CW|profile_count[4] ; +; 7:1 ; 18 bits ; 72 LEs ; 18 LEs ; 54 LEs ; Yes ; |radioberry|iambic:iambic_inst|delay[7] ; ; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; No ; |radioberry|ad9866:ad9866_inst|datain[8] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; No ; |radioberry|ad9866:ad9866_inst|datain[7] ; -; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |radioberry|ad9866:ad9866_inst|datain[1] ; +; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; No ; |radioberry|ad9866:ad9866_inst|datain[12] ; +; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |radioberry|ad9866:ad9866_inst|datain[4] ; ; 7:1 ; 48 bits ; 192 LEs ; 192 LEs ; 0 LEs ; No ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|Add2 ; ; 7:1 ; 48 bits ; 192 LEs ; 192 LEs ; 0 LEs ; No ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|Add3 ; ; 12:1 ; 34 bits ; 272 LEs ; 68 LEs ; 204 LEs ; No ; |radioberry|receiver:receiver_rx_inst|varcic:varcic_inst_I1|Selector0 ; ; 12:1 ; 34 bits ; 272 LEs ; 68 LEs ; 204 LEs ; No ; |radioberry|receiver:receiver_rx2_inst|varcic:varcic_inst_I1|Selector13 ; ; 8:1 ; 3 bits ; 15 LEs ; 9 LEs ; 6 LEs ; No ; |radioberry|profile:profile_CW|Selector30 ; -; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; No ; |radioberry|profile:profile_CW|Selector33 ; -; 3:1 ; 48 bits ; 96 LEs ; 0 LEs ; 96 LEs ; No ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|Add3 ; +; 10:1 ; 2 bits ; 12 LEs ; 4 LEs ; 8 LEs ; No ; |radioberry|profile:profile_CW|Selector31 ; +; 3:1 ; 48 bits ; 96 LEs ; 0 LEs ; 96 LEs ; No ; |radioberry|receiver:receiver_rx_inst|firX8R8:fir2|Add2 ; ; 3:1 ; 48 bits ; 96 LEs ; 0 LEs ; 96 LEs ; No ; |radioberry|receiver:receiver_rx2_inst|firX8R8:fir2|Add2 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------+ @@ -8472,7 +8472,6 @@ Note: In order to hide this table in the UI and the text report file, please set ; Port ; Type ; Severity ; Details ; +----------------+--------+----------+-------------------------------------------------------------------------------------+ ; out_data[1..0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; LED ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +----------------+--------+----------+-------------------------------------------------------------------------------------+ @@ -8601,7 +8600,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; Type ; Count ; +-----------------------+-----------------------------+ ; boundary_port ; 48 ; -; cycloneiii_ff ; 11875 ; +; cycloneiii_ff ; 11902 ; ; CLR ; 277 ; ; ENA ; 5314 ; ; ENA CLR ; 402 ; @@ -8610,26 +8609,26 @@ Note: In order to hide this table in the UI and the text report file, please set ; ENA SLD ; 385 ; ; SCLR ; 124 ; ; SLD ; 470 ; -; plain ; 2990 ; +; plain ; 3017 ; ; cycloneiii_io_obuf ; 13 ; -; cycloneiii_lcell_comb ; 11272 ; -; arith ; 8168 ; +; cycloneiii_lcell_comb ; 11305 ; +; arith ; 8193 ; ; 1 data inputs ; 1 ; -; 2 data inputs ; 1405 ; -; 3 data inputs ; 6762 ; -; normal ; 3104 ; +; 2 data inputs ; 1429 ; +; 3 data inputs ; 6763 ; +; normal ; 3112 ; ; 0 data inputs ; 39 ; -; 1 data inputs ; 186 ; -; 2 data inputs ; 1436 ; -; 3 data inputs ; 255 ; -; 4 data inputs ; 1188 ; +; 1 data inputs ; 188 ; +; 2 data inputs ; 1433 ; +; 3 data inputs ; 263 ; +; 4 data inputs ; 1189 ; ; cycloneiii_mac_mult ; 47 ; ; cycloneiii_mac_out ; 47 ; ; cycloneiii_pll ; 1 ; ; cycloneiii_ram_block ; 1062 ; ; ; ; ; Max LUT depth ; 54.00 ; -; Average LUT depth ; 3.97 ; +; Average LUT depth ; 3.96 ; +-----------------------+-----------------------------+ @@ -8638,7 +8637,7 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+--------------+ ; Partition Name ; Elapsed Time ; +----------------+--------------+ -; Top ; 00:00:34 ; +; Top ; 00:00:37 ; +----------------+--------------+ @@ -8648,7 +8647,7 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition - Info: Processing started: Sat Feb 24 19:50:41 2018 + Info: Processing started: Fri Mar 09 21:33:25 2018 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off radioberry -c radioberry-10CL016 Info (16303): High Performance Effort optimization mode selected -- timing performance will be prioritized at the potential cost of increased compilation time Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -8713,9 +8712,7 @@ Info (12021): Found 1 design units, including 1 entities, in source file cyclone Info (12023): Found entity 1: txFIFO File: C:/dev/git/Radioberry-2.x/firmware/cyclone_ip/txFIFO.v Line: 40 Info (12021): Found 1 design units, including 1 entities, in source file cyclone_ip/rxfifo.v Info (12023): Found entity 1: rxFIFO File: C:/dev/git/Radioberry-2.x/firmware/cyclone_ip/rxFIFO.v Line: 40 -Warning (10236): Verilog HDL Implicit Net warning at radioberry.v(380): created implicit net for "DEBUG_LED4" File: C:/dev/git/Radioberry-2.x/firmware/rtl/radioberry.v Line: 380 Info (12127): Elaborating entity "radioberry" for the top level hierarchy -Warning (10034): Output port "rb_info_2" at radioberry.v(59) has no driver File: C:/dev/git/Radioberry-2.x/firmware/rtl/radioberry.v Line: 59 Info (12128): Elaborating entity "ad9866" for hierarchy "ad9866:ad9866_inst" File: C:/dev/git/Radioberry-2.x/firmware/rtl/radioberry.v Line: 104 Warning (10240): Verilog HDL Always Construct warning at ad9866.v(88): inferring latch(es) for variable "initarrayv", which holds its previous value in one or more paths through the always construct File: C:/dev/git/Radioberry-2.x/firmware/rtl/ad9866.v Line: 88 Warning (10240): Verilog HDL Always Construct warning at ad9866.v(88): inferring latch(es) for variable "datain", which holds its previous value in one or more paths through the always construct File: C:/dev/git/Radioberry-2.x/firmware/rtl/ad9866.v Line: 88 @@ -9344,7 +9341,6 @@ Info (13000): Registers with preset signals will power-up high File: C:/dev/git/ Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "ad9866_mode" is stuck at GND File: C:/dev/git/Radioberry-2.x/firmware/rtl/radioberry.v Line: 46 - Warning (13410): Pin "rb_info_2" is stuck at GND File: C:/dev/git/Radioberry-2.x/firmware/rtl/radioberry.v Line: 59 Info (286030): Timing-Driven Synthesis is running Info (17049): 39 registers lost all their fanouts during netlist optimizations. Info (17016): Found the following redundant logic cells in design @@ -9392,19 +9388,19 @@ Info (128001): Physical synthesis optimizations for speed complete: elapsed time Info (144001): Generated suppressed messages file C:/dev/git/Radioberry-2.x/firmware/output_files/radioberry-10CL016.map.smsg Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 16768 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 16801 device resources after synthesis - the final resource count might be different Info (21058): Implemented 10 input pins Info (21059): Implemented 26 output pins Info (21060): Implemented 12 bidirectional pins - Info (21061): Implemented 15563 logic cells + Info (21061): Implemented 15596 logic cells Info (21064): Implemented 1062 RAM segments Info (21065): Implemented 1 PLLs Info (21062): Implemented 94 DSP elements -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 59 warnings +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 56 warnings Info: Peak virtual memory: 953 megabytes - Info: Processing ended: Sat Feb 24 19:51:38 2018 - Info: Elapsed time: 00:00:57 - Info: Total CPU time (on all processors): 00:01:19 + Info: Processing ended: Fri Mar 09 21:34:30 2018 + Info: Elapsed time: 00:01:05 + Info: Total CPU time (on all processors): 00:01:18 +------------------------------------------+ diff --git a/firmware/output_files/radioberry-10CL016.map.summary b/firmware/output_files/radioberry-10CL016.map.summary index ac79182..e18cc55 100644 --- a/firmware/output_files/radioberry-10CL016.map.summary +++ b/firmware/output_files/radioberry-10CL016.map.summary @@ -1,12 +1,12 @@ -Analysis & Synthesis Status : Successful - Sat Feb 24 19:51:37 2018 +Analysis & Synthesis Status : Successful - Fri Mar 09 21:34:29 2018 Quartus Prime Version : 17.0.2 Build 602 07/19/2017 SJ Lite Edition Revision Name : radioberry-10CL016 Top-level Entity Name : radioberry Family : Cyclone 10 LP -Total logic elements : 15,517 - Total combinational functions : 11,268 - Dedicated logic registers : 11,875 -Total registers : 11875 +Total logic elements : 15,550 + Total combinational functions : 11,301 + Dedicated logic registers : 11,902 +Total registers : 11902 Total pins : 48 Total virtual pins : 0 Total memory bits : 374,912 diff --git a/firmware/output_files/radioberry-10CL016.rbf b/firmware/output_files/radioberry-10CL016.rbf index daefe08dbe779b87efa6746c6621e5e3855159bf..00f8f3805c8059fa729a2ed5f6cf227005d6dfaa 100644 GIT binary patch literal 275058 zcmeFZe|#Lrbtl-}Y|$fGai(h;Kw|)sT{Q);XD|SWAw)y8EKe62U}FgWf@q17ornM- zEu{E|$k17O*SGF2ws6gf!VqJaff&WXjA3P@RlFj^=qR5r1|V30b&6J^X`h_+Zb+K; zQi=B>LbQ*v<>X!wY#ZWAvc0)K?(UN>$$nMU(^aou{r=W#{?k9bJMRC#uHf~bzW$S+ z{`9ps-uT(i-gx7UpImMB{rGLS{Sm0kQ z@c*ai|{d^viTVR^a;i(5i6fXHhAAask$ zj$~9`Bty9`oMq` zV7Xo*Ekegt+yfW{i1a8elIsB?97z!tc3ut*uspuJJP{W6mZwGKNA?%@M4He+K$ImA z{Z2?x?k~e~9Yi<>Se_>AzFZFxz7@~_h|(jS-g_19RfuO-EQ5IF1Avxg5IQH8LHtHU z#qY#D5jUCh({1{F)j%i;dcRh03t4Q7cwgMS}F7p)`;vD$w-&wGAdKNr^r|Q zR@hBod0A07DqF+_mg}{YlFy6sg-${)uRoEuC|k%Vy&13p5a}fB`Fntz&53sQp}PFY&&j%&Xnw ziimU&GSVxukFcY#iKx%$p3p5 zETt^X!;#+NUQ|b428eWlNSAk#Lg&+fNDm>!ydm^?=_))xq(fA8lqRGo=TU&TC*nf? z{}Uk61w=iG@|X9~x6|iZ`w1PEL-b2wlL*l~6zLSTwJ0v;Oflb!b|=OYAw~RS03k&i zc{{yC`f><+2;2S}fCxwBM^enSQCLV(jzFY`u!m@OR}$eO+O!zAL|U}=5&A@k%8uef ziZoI7a)@%5W4R6@yc!^eR}nq}umNH`5$n-td=>Es!u~?9C@!RkFUKbVV!RWG#+V8q zYMW7C5c83Mh(~2b_7L?Vx~tGFy7$7{Akrf$U&N!Ii8Rp%1w`ElyqzAAK0=DQSRhIh zb`d%V2z~AbJhBW=0G8WI{4Ua0r2iq{Hv!RmEuX_L0EABBo|x9fJT2@Y)=LpY{70mLn#&UfDhkv*=JqRk0hsVKOJwioFcl`o{wLEztCMU*D$_NRdVbs3g3 zE|E&FT!mn5qOiCp^jQEbY4M9|2oQQiI*72akC4KC(Hb^t*P^Y6_Ak<-W$c@8gQ(9e zAIF3qe+v+4(Kr%mBF0lurf35~Mu_wZu0o`XG-1d83iw~H;?Ds8a23n#vczt^^(yWQ zUBoyW=^^^D2#fLsqVlhmqMZpudWri>DGxmqmH!_AqP+-+aD=6lx4$mc`ug=tr7w*S zB8&i0nvjt`QM-@QgdIhHYzK%jLEQfXfY43MKM|IC+^x5YF)zYjUd8gh81>0WF4sYX zqdma*RYZD;d3+ua)t8VWF6v4^gd;4aEM21-iqb^=iZ&l1T2n`Mie#i$q=(Q~q=~u| z^()F3WBO|Vp`(ZkT-!fIJSscVPspfF#l1)up__nc1CbtYC!?B?!n!^b<1bo5BVWE&(E)gcQ0&wh-Z^(tmM{=Dw&+3K^vd8MXH) zP0U&U2#C^!6!B{j=@ZHS42Z%){z8MLkf`_NIz)De(nQ}BV?&fK>>=Ji8ZU$t@n44J zI*72C=R{kJ5S8~%QnXW1R&-xzFWQ_)ix9OzA%#B6<5AkRdR}V}84&e_sI28u*h3&n z6YWdny_C9iU0!Zf-rJ=`_rzQ!I53f?NE0?*j!2(KM&m~mkIIg85;hfWPoxVO*(ds) zkWreD(HL-TTGR(3`4k`u3n|KpbP#eCK&*G7G@(y42SoB(9fV!PoDf0G3u1nV^!gA$ zw7sYwi*nx&5b~WcaTSpsqOFN_MwBkr6T&VLM0*rrvGx%7`>PP)zXphVe+LkKP(b7- zAoeLy|BU9KHiV%zXPH+E7m#P06`1A+j{YH zSFyBOOeF3AybcicDQ<~%ezXn~GFq2Kd$}`!nX8EO646Kxp@Trw{-QOlNL#K~)V@T0 zin*39C!Vl5<|6?;^{YrhEi0zl{> zbQ3xX2pxqju7yY!5b05y5;hSKyn&FSu7#f0>K3I%{dCE4sg$s*=$j&4^h*KJXQQ!8 zgat&u72L&gM86Sf(Re0w5D@!rQD(G86KNtI*-rFPf#rIN`0rnZ2n+j({g&8M35fpj zp8&$1Q6Cmt6w#(cd-`L5Xmet}BoK`sB2ARN9AYma;!zz(dI@`oz9`Z~`2r#?$`%k| zQE#Fzi?Jhun1_D`_-|JsIOV;79ak|15VjDAIAsxzbQ0sLK$IrzCH9}9ULuIHuZ6I^ zsGDef6>_P@mg+1TS0fqK`*IoCNyw;wih2=sAnYMH2{9ImIu#J{UxsVBS&>hqgOFbY zEZ5=M@LIs~c|fceMV=8~BBWS%2}J8M5q>+pM7n^uC&nxRp^t!AFD~`grK@Nw0#Vxk z4EQ5}NE2h}M*w1cty>1c5s1244)L4!0YrNhwp_jk6?#PVCBjRZ{NfswEuM=Ir3oq8 zxV3o!Z!a0K%_+w@n43h z{vsKTWugxVMCHAcTpAtz@DG>YkJ5yU?65rTR|zlA?_a|IVu4@B0@DC7PrvmU?+U;4 z*0i_8;#U?i%aT4;_j8JFS?aG5eC>q`zs9fM?x!!@^3ToTKR)!nx6AlfPn&t+;6F2m z|CgA7n(}TWF)T^VvZ-^~Lvmg*BukSdbR|hy5*)h$l3nUu55I8fq#qwfQd}uHredn_ zd zJWxDG3F8!!%$T@wW>+U<1n`zOBlcE1=a(9kcSS%hAQ7Bz!aq}%s3F;CQp+n( zvRE6|CY>fFDW5?|F6<-*^7dib?L{2RRvq%F`tw_pnzZz5UOwdXUHw)1PDlJ~nBru5 zmvc3@V}1G*k*?(C^brMeQ;eRVB7T-G`@Cn0c;%fj178}RhaY|;smN@8K zwT&WOG2s=fQBRl z#3!+9$|1B66mU7NcrnMjk+_oW*=n9TbpGY1l%j>sksKpf${tj#EaRNdF+5X|s8`Dv zkxiLn?x`ddgJ#R5!@jA;HKGMnHU^lf=ZL8mhxH@DPFw0eL6m;kH3Q5PFH}w9mo4K6 z;!NreAC!5rip)XBFz2-f`?EXV>kZND5E-JAh~&IeWR7^GL~x#*LK+z#vhtkB*o=jf zY#pXjGHu9tHt(n4?L4dpV-CES(Mu3_f*0%_xu=6l&JW0(ZmOQutw{avn}iw8lb=Kj zj%S^un9766_d2fO6oPSQ^?&}}w%9&1P?>=(kIE9_(nz>3G#?6MR(=kJ7@;$Ij5d~C z^ZIZy$d5aljr!OT?oAAIKjR$g+L`ym>+{MwTY8D9Ntl##-gH1o1v}lEYG-c9$i&04 zv2lSi-i5m5;tJvwA3d?F$gRcti_!=fk|8mHo3g};SPfa|$y5rlA@Oxc;vT(z?j=X9 z4Pumgy0Y2dB_%|=*QEGps7OX!Wsr&F#XN;e-jGYUxdK)PYEivkCDk`Sa7whVyka`g z&@!rrm7a}a#FKu zn?#Y$3}5dXk_lA+I!K{J;kUrX!F!Y>Ne{&m15#m~F=4Z~3dyP1WFU!`w0Gl-5v)Xg zmPTXV3MuQ$-5ko7Y}4nVJn1K6Gb2wOvMbo@gfhLrRwR_*?=cl(DwcUej^{i%BWGel zORy2B8*XRZ{3wR8^D|xD9#)gpe zhnr$zL6paM6vN5_G>mh_lwsv=#tc~JAbqzkVM!Xb6>30xBQjb59}0cO$zxlNJ5-g@ zU`wg%2r(_Z%ju8Vl}XEt9h0Fu3_-QX<_$l*Y1j&_It(`QxGak1m`QPlxC|OL$_B~G z)aWcisbROAfESjU0%xU0!N6P_9bEux8gNfyImc77(4AB;yOh_bj0vY(Wwr#Z<`cTb z%wXS7;B`bzNt3>3SyqgP)&eY`s7pjftg=3RxXm@}vsoz)dkR?2cg+A->Yu2%X=Cq*6$Vkc(;t-sk%II8r- zdTX)>T0;4zOh6~7QaVG>&5Zew6&MDu<_r8(ucF(vDiE%Sj-Cm_| zLqTDt125^tU@)P!3i&|C-pM%a9eePn2TdKTg@d$WcWJwEu0B+@V?vXac@m~n7ZtUE z6l&1pIV~;MRt(D1`g*jGkW)KY!q572)~_X9JATLL4Muhx9@wsTe}^^g8W_kn?nJES z9_rdsHLnblbrf;hm(vHg*+Kn@-cM7t`%sxr^1iVE_idi)@=#!vIqIj!$&IPa^T+p$ z%7q=s)!nrTW}HDX=;6nzdf4VaKs0R#Tb;zk>zIz|lO#bpnKzC?6z;;uf>-S84)5@4 zDt-9WAnNZzc2ZqEtKHUjc4P;hLx=ra%C6CEHC6RZ1T{?|_>GcPdP=$B)Yv?f-&CSA z`jO6}&$!D+aOi|aHW&1}Y9)IStpOuLPxa(NU|nAbs`ZmjD{p~oQ;86_i4P&xq$Kzb zVxjG1JM7$W9!5P0MrU2b`wnoW#)nIt7N$bNt+3*ReDcb_tQ9NV4*Mwadrx){i0zJM zYN|K8V=j9ZUBq@fcE}>OcV!1_>(H*+A@u$Y?O1N!i@%4sY7hS!1t_ z=k--JiOvDXsnc8Z)(xMasmiX8?O{W$rT#%iir>(i_Y?j(Eq~T3Co{3Wa+2_38IjR_ zoRG?{PmbUXr1+ztb{m^whf()s>a?-7*5>-VzrE?4R>7=y4maT5eFNt-uG}??twQ-w z;~Y6$I`*n|6laek>{s;By_&78_E+0=S-w_lNF7G07%C2@k)E*r+;-PmsaNgso;vI3 z3H>zg^i$91<4&rp^bxzyIj3FF#(UQ3+qBbIN0)TFVWD<R^HxoQs?|a&t;w7O&1wYF3@eX5=?9>fAE&{ zG>k#xWEEy>n_6(af|4a%s6Jerwx4ESY>ee^KjE*i3?eH;!vqDHNU!&tT>Z6$-^pKCb(r4-W=fDY|g9xHha;b z?R27K6{cBu!G8Vk7oQ~1pjI)QI)}!07^!`DOfyJb?nBv|QRdR1ynbKo6 zd%ilBDcOZ`ZVftx!$Wd*f@k>D_|{T>Y-~bLoM4CgKIN3hTgQ7oT?{=Q*a_|8Bd zJx_g^y*U2S9oq93`dz*J$cy7`bkaCH?pV)%hrP!|2GGIFpU!v-SeF zw%RRDvfRPi>6@^&Ed-Yk&yaKU5+ZH54n2SdH18Ecl;7qwj^zis_aa44P+4c~z|PS9 zB{tr(mLfe&A1K{kQ~yM`cEnz2Z#}?EG*k79)5AP_pS#&9dj z^H}W(%3Wm(Eo5aYM3Xc`=5t*74-dY#(N~yWuiRz(%5JUjXjojZ3s28I_HgZS`v=*R zJUmaQ!qw0|vct3;RrVAt_jm_)rwN}5C_3VE)cZ2&;)sR3cE9s1-)-kfmsW!qF88-v z208Bk&@z-WR#$C?SHsPFMv7K-@ZLGjlaD$t^5SLPDNF^`ZQWEgSA?d`CtzA?36hFe z#WWSfnn|!w+dZCJlYHH_*V6PN#%uKb10|^K0S#=q27P;dP_>}$*Pn!zy{2|xJ(U&? zm+BhyNv%#>qu+R}j58q&Ur&6ibi_~MPumym*{h-*<4^beh&|hmKRtflnVN4;@BRFx z9#BX_YxD`W&EBg|Id0F+o;CVcPu-;V1XZnjuQLVpadDjXTpF6Y64*jXJMPe(F!=$! zdCEzqz9?R+b6a6gMtnf^p;YAXBVW%xL_#njEgy66LU1)lf0Cr?$}9T35=gYHy+TkqDU zf_D43eu*;r1%2ZpC9S-@ubkRK=xTkNjhZfO{%I$*!;Qx~`31L{*o?z=_he^jc=je|aFOINn%}=vxxUI7BTU4THEK}+^C3J+RV>6s`DP@fy3X6hKC5{v+ z3tOlGt++h-HM@g1DZn{ShEi5nj&Ktm#-_?0n66+uBLNX9 z#T_+%>Co3CSbxAe1jF(Irl*4Hozqp52O%MJBS3!*_s{1LmCtx`>?#$ z%KIZ0l17!uAQf}7F*ATx;wV;wBY8m#3KEm)m3@mebI0p{g8s5x58UFdxc)rtKtL}R z;5t}GTPCg%BO(oc1`Ok?iIV*yPoKRat~?4O7(DtsT(3M@dx^ePjt^gXy6MiPr+Z%H zt@^erk2hWFgrc*bMwcjLAjDgrjN-pS4kxGr8JwW^f}$`k+)LYyPivQ~FX)AxT5=yQ zJF7HcQrQ~KU&PvLng-oVh6wPiby_RYCXLRQw5nDk*BQwjTF8<2gdr8G^&TuaoWgi; zYzJ&@tMxroPPi1*?1H*lpL8D3)k3htAuRc_LzK5%l%A>jP3$G7+R?4>)tzKZFuJJI zwPeeDyY;fOkDPN(mR@!$EL*MrIJy5@#CnBPHnpBi{oL{S>e~6L5Zk|B3IFiO^~imV zFsO1RsUTCnt2k9QVa02P*HepysY6xGVqx?1ng1cmt^m#*SIYobOo4^`ZS z(0JngZ^9NM1?zZEA<_t!V6y~ad6Jp3fnakkt<#K9a*>m8f+^e7<{a)A4m3|UKCJh< zvIP0Bh&!Ar*etv8PPEw-`#c28GikT&b*s$}%7(;}YS+I9qSWf?;jz$pd8wT8#2lb5;Iy1*nVfvuWuXAzzbuMpkLx-AEDb3QlwIOG>of;a% zs~$?NCa#L`zL8T$%{Rx zL0~^dLNmsJy|{t!nW-bZS@x8wGy(gVjL$PL{5C7iPLDsLnRB{kfsUr4*>GR7U~iR| zwHp7ZE~Q+xAGWE4E>zcFm%af@&D`dS$JKi|QZUApY7|Ln{4C00Lq|Bz18WQxL^+>i z2G*`fXhK&Q-{+t>wL2HH+~-#R+8+fs%=oL5jxpr z89Y<&dWoF1uA^pi)rI|ODwyZ>(`>k&B&tq3qSlFobjlZs0>9Kk_W1X@_%!6M>W=E% zm;3#PeAU!{^rs)4gbrrXmB>`2qT-F9WSL_y6$}_=Y^FTPd6NLc9BwbpZ^6++4`* zCfy27kNLJo{h^NC9cTx(F_Sz?v85M2ShR`@#9Lv-k*`u96krI0?gGVC;Kej^4C3DV z%vikBZ{zu4eccY6!{`jPiA!nKD#kM5!B{vGxFPgD90%fStp<-5<}}vrp2wX`hd&v4 z$YI`%l-bO@vA5>pPybwUn|%r-1PmRanHZW=sh${GwZ{WFXZ*P1-AT=E+^~dg`bj!%!2_c0KOP>6d|P(LA*<#)uiH_H(>85W3;@6dFlC zaDDHE5^Bhe(hO?}4*C64(*j+%CgD+VblP_6w4HY!%PXlmlkq{E+I4?W0)CMSi!UjY%g!U7TiSYRB; zyR|G(SAa=N!o*V^pevf2(n>RjMJX`jrn1|WI^(K%4tgrX8j7o3KzTxLyJ;vM{G_ph zLKgZ!zgVi4EWNEj2R*yYU&@%BXJhqri&w$-98&D!IBYs6B1F zM#F>xH39u3FIdVvv{hwY;)=hTtZAW>SL3S0XKFqtYoI(7Xa>p>w`Q(nE~B2hkO-(@ z`!Q`dg0^Rv*TGaLg_cKRDWc|;P_n7CJMkPnSkgvN-VQ8h+KJi1!l@9*DR>q0dIVNE zS$)ryu?1|#VPzm_X~|?5HXye&p8_%k4huX2jT*Vwm6*`Q5=~2z+FeK9qn z^vY~qwl?dT&2n5L>dxvP!$&-2D{z5Qw6C9!U!S>oybJilGGCaE@L)?)S4lfG8b zU($xss}he6>c{3&#nXxXyo~QZsa5XUuHD7cQ$}GQ9c*eGws%p!@4ox=`>b)j$KJn# z->IkfYh!$smOjw4N9*lC2g1Im(FGlq23mv0A^Wh63demlbr7G+qL)+MFYg=DK9f4x zP5v0qV1l4y$sDDBBYC5Fy0q#yIjp0gwp>=c-kb{I(7z=FKY|R0g?yV>p-0z_8oLCW zaN$)x?Ecbp?gu32pK7t&_PpOpy`ejO`~6<ldpVo&M2_y0c|yz4g4sG}pwc)y#uBh$M`$~TD zKIC@O)I!r@eSQI4r^;r_yX+KFWUY>`jmDYUuLD& zTxJ@Fe^90$>U@Pfth23t`eA)5Zr2w|efWIy!t|D#8iLg6=GXm>x}EHk?l)`J+o{uZ zuA@%hinin$m0epp`_V!FDZ6&Iw9S30=i9j2)u&ByW3zDwYU1BZ?&)o}E{$$jgZ7}K zi$U|9Ut}+$ZI(Q_Yn$8A1FYXMJWSVG-4}ic)xK(Q6+1#2kJ=RUL$bAM&xfpMPAXugo_9LZMc&%ysp#_}akBv7DX!VC)Mbm?o@%}?Ydf^-6 z`qorC{SLdH6~6HoTQDp5&*H@S{+D+iJeR#ZH`hPYo07yKpoVfp|!AHCsLv^ckKGa^w3oJGo$p?zEjS)ee_)4Vw1Cmbe4{ucE;J! z-0{0vK=*b4L+2hH>dySo`V8Ms{{nxt^s)Oku{EfAsMLJEHv4IPKmF0rvnAC0{aWC; zj-GceG1i_Qu|MIo(Q;Je!;J~ z)b)zT9mcsT5eZhob5<-f74Q*Znl3KtrP4OoyU0*GniKos#x}eL-HubAA!E3{wMsIj zu`|$-23M6*Mi9vMP_`Zg^C)!yYcG=>s17B3UPww|BDQ+ja%SfNJJ_XDViv(VWWXIb_l!3{$%vR-lyP0!j$Ey6M1VU+KL-Pt4S z|G0?{Xjum?ZW9^Mq>(lH0Zyz%d$x&$a9wKwIX7QC_`TZw>=dcw*68%cRlsKLp95`i zgX0}Ue)u{b1jeGz*UMqw9<+X(!{#P+nd*(5tO<7Jt7Z$koHc07Z+U3X2wEtf9F@c3 z90@T8c#FntXTdA04R1}opQcApTdK}VUqCE z)o5jXwbq#PpMN#+O|8>^{s2(KkAC-WzJaykV`J{f^RM=OW4Q0qENZvkp!aNRUVOIH zZhr^%WG|xjkG#=z&yGSlk!ij#{Kf`t^HA?T_Iu4I=+Pa&UHeJ4NOApH`y#dPMkTF9 zYrE&}+LHE#@onhoo@xJ8ThoJAH@~b8Gy%&3_F7|I_}Ik_H{Fe<;Ur^2^X8$$rTaqB(!pu@wv1$LiPUqYs_!d&>TH;;a0PalKCe z(1!042z}%u-aV+HH~pj9&eyP=y{LEIQQPd7 zJG5pW!gub&AoNWrJbCw>38!ML*4-ERC*894B)>db+s)5se|EIiJ8wY|tZ<2Q&Y(kB z2ZGbR;Lw$XDG!#8SC+9J%*Ui~n#AA~2o0fxL$HFaW~>h(qQQ(AS87&ZD=`4{SSwHM z>d|e1L{&DOR%a9jtA zF=^&o2j6>T!Ug7zad0PyxvVAJ4eM0KGssq&TPGyZOqGkNqw#&#$$TOF}&QW=TA{@P+8nEp#c1NcNn+^7I`8P!v4< zC3t$9z4Z8&&ZXzK&?^~4Ph2a1r5p%ou!Y_)l#fKio|G>r(9-iEYhG% zT!u1yT(6xXM}B_sa0hiJkljX$SkAz|$BXjhmr>uGb0x#H-+W>!=H7m3zDHR9?I700 zS8-({5JmFPG_IieO2t9+mW}@osF^9s;1M_Fhu9&GuY{An0VnvD$5&{f^r(-@gx6UJ zR=VZzNO0%E_y_n-EA&EnXMI;U(LEn%DsO`K$vjiR&P+%6B;7h4tjKI&Y_sv2gIvdx zn=2&!pmAbYvGnXQr<>_z1CBPkcC(Tz-Tm}?Cmq;x7T`-saD6?AD0g7*ZW$Ba4cJr+ zOENK<#a6YbAOsqDC-o9D37q!F8gB$O2skcG8r|FiZ<{Tg^%g0iv);@E?) z={T#B)fH#A8=u8H^WYrjg^vV;G154#o%(u#Cz!vF@d1LGyXBmfKBy$^bA%qRXq5t; z9AAg5%!7Q0x^PBjjbd_vD#oM_1H{oiPPK)i^c@3RX>!mlJvll?`@fD0U!-YAUd>C} zu+mrJ^?yT^#`Hcq8(hfUw#YDfI!OHosGNrKd`_e~x*7g$IiF;=&-7GT~IyR?@3g z8gZIFhnSnDe?%BIVF|a!xLy*<+~|Fu%3EG;wZF2T1%cW(H8OZRj;$ZD^YdU; zmYxXyO@(f@ilZ)lKDhU~+H)?qD(TkY`gYY9Zx_PbT4X z1zc4)IMNhJ%(JSL_f=@W^?XqA76LDH1K3w8l*E;S!kblMj#F?byd_l&4W7WANkj?E zF*%cCnG?wcPClz5bDkLz1=j8sD#HrQdzg8ax>+}CR9EX)TLazH$2y^ZnGT{pWmg6y zQ_H1X>K1j}WcaXa1m;Xa&ZwilcGF)2I}%q&t{yAWVOts?yDcCi;{-goNC6FDZ-uA8 zKA%WDgwuhV6;ikF+v+U==;#F;NT|FDE+ar8hMww;_ZXNEmI-XdA_)ZuARg-uh}>;i zie&YiU;N-d0QqCfhJ|($U@^fxQU=#8AT)u&FYCZv!YEl!l?CB}Rmh?Ec+gDL3*bE9 z$=ShyxPKkPX39uV1=7LE%^o zs*FK(FarDx2Ryu70U>@W#aD9@1 zh8ZS~(H!&y8V;YED#Q}9mS2(Z4e$+79k7C8(YF8XSzud$uEf}q=n-VDkal@+NT;xR z;&K(%0nn$x7m9&DsZ4BFk~~+-vD8LcH*aw1oCi;Mu~dvFOXJgH0rmEf{8{dag*Fl4Zu8v~5VQ#Ut9N!dLnH(LsyO zfg2SsKxq=pDR9?CB!OcjFM}5q2M%(b6IW|AsQE6^uNe7?Z_3ilP2Dn&!(lwy=FIC0+ylwKA1ATNNH!sp=tP22A) zWVm*~*0fPuZC1iNfqGP_3&jJ&r_?Wge111E2qrM*NxOmY!VHSy!fX<1a8Z*i)hi~d z2&RoO@WyjtPiU#}l7=mBSc5^kgPSWsM-0avaio&CuGIJDX!d%lbA(Y7!QiopC3nX0 zR%X=xjXxCrXTZ5Bz*=b%@LCeEi=dJLbDm%~Om#OLHV8$CY+*8qn&<$Uz!ayufKRtz zCUcscm3gYqF;fN(?fS5V)ywrt-bXoKJbwe!nR)qlZU^!RI7%k#Y2Xm^-Kfl@2Kd31 z7D?dc7ZWyUty6g0KwuU~1Pv9|IZ;})KmpDbE9i`s0XeatO?!Bl7MGcjD z+t3!?yT3HI6OXJNY+yb2u%ZS2lW;a9B2j}h8KJ1>1y zZtTjXZw4CRr5(kw9}M0z@5~JJTe+Q?owR>GSi5hBN%houP1UuOh7qnQqgD(q6?(_s zII*UTku!MZKn>T*eUrMb&Df1))(ETbHayYl@0sNvz{QE&VgGl~EnVn7gIHQl?>nJS z*qQo{0lWV;@T)VzT}S9^e(eKeYQjI4^(V9^$MmVjumRsWmDX1Kc%ox%z4kjs{qZ0< z-CeYKnWMf5G)l{%cSGtjN=q;{=@R&<0T(RQXk6C73(X6O;$;-ro%u1VE)j}PguKN; zyOs04#ldb6u=w6^npnnp*u7et*z<5Db`w*#6MKW)q=4Vrb^-@~k`9Zaon-rm;RoBb z!q0u*65(1nsR=m{vd3gN#H_n*C&`hu_%*C$PN4m0-(Uwx4&%(Fl%2w7(IvtVf=wiz zZMQc1Zrg0pe;v=ZvR2gPw$8!XwdTKBVo(cf&{z4_QJoIHaapV&F$*PYwsS|i?xA!*9^cu@>}$&;T+kurM7SMG=aV4mSGpX z2tT{HqVeF<_B}LR8mvFg{5fJ2{0g{X`LnoC(T&D)`r<5aDVkG{?ACy|T)SL)orrwA*U$-l#u6>})*QvDh;Q zTg#_9zKtK@q+w6?hq!8cRe$4YeDq-Qq<-|CRp6&m9lUe&LiYtbnCGjfw%N@ebCQ3j zH9Jq&p3~+~OZd@gZR(-hr}Y!G5*{0|3q!QM@8-^-7x`7M#0{MGc%(KmsGo(Goe0{)4Hx51e`UZYjO7h+Mv^^*H|kokY%~sSXlXpGH{VnHeA(DEFa%e7GZ0%}uDP3?aDubw zIHu>VR|h(piWl52`eC5WM&K+O9Ot$;nW^yDtM<|1;$U3{KjoekI z=XuJJyI2h@Z%~G;Op<+rK&z0t+9TwFK@83&u%-bf=Ep;G;3Cy<@BKeaSFLS3pH5v! z3(gYQLQ3mun-&o{GV6jHO(xt)G8LXwU(&!wgqLQKqknL&mN_qztgSAfO#?N1**;Vu zTDxU^8vE6d6Y%6JRjp<MtiS;tm-YIJEBZpN#Fn{plDYDWrlG^L`j7|Ru7ljOBIUaJ;ksCjXYV<;tT z`|HoWLS8@Ku?GCmTFJ&!+Ib3Yw3z|TXz95BUYae#RX4isZ&oLVVQ&xZYAsFf)h=F< z_@eNbYbBGtEj2B0(4i;GSLm*1zfeMjNBI{@CDJl~EG@rQnyyRS0Ul?$2E3-W`a<$` z4Y$oc{}8yL&HYX54sDhHH|gW}$Ah!Sp3zU$T-x*Ou{U)3TlW1=JiN*7AARDPP2G1O zw;g{m)xD1|>YbaqNm$&6gOjJu+WTlweYmuBm?aMcmxhP$Kfnj4d%~|gmg))m(Z6$S=i(rTzUw z6z{H0In4G?@CB;H&mm?jx`yF^AC}j65;h|3$8U(29aDzc65QQnShVG@eC;cT7A)k6 zl!U#8sq;A-rd3n;x%Exkjw0y2O-OPywoV6^1FSO#PO`<*;uGO?Y{xn-g`-3cxm4)l z5=_7|e8x|F?*G5eaQ|w_8Sdu0DJ&9P*h0WIW;+4T!sms1U{D1&5ZJF5;9KNE*22~K z$7OR$lP19pZc=Lv;F7jt$96EU`eBJDTlK_OfcQV(R*qe@$z|J{G)SGn-K#q_3z6Tn(}n zH(WTQ#fo_MQA=gh0XnAFT+$-10 zwH>ISRXS1>Rgjb#XOka`E8EG)q>o(+gr6%n!pBGtFsFMC&!i;ksahOk)r;{OHIBxH z8VTP8!?tb1@lf+H9B?|4y_WG|(o60l#5?Cyo}(7@Dy3i9*F^Q^f@LGlJ4`*u&*BcureQ zW=P{KxZd=3;L6bM+QcDQPd;wjd#r=hC*=Y0mC?SY9PM5SOqV}Kc3Cw6I!+IC(4oFu zb0=L5tWFaRdGRMF|EtO0lU3ZVQKDdYJ@~AxwG#oKI?2Mgob-7tEX1q?Y?bO`=0+kp zZ8kUyIa9h>0ggoSZrmJD*rJ`%LlUciRyqOBvTE2ymOjwxg*5DLwm;Uwu5Bo$-yoy1INqZ7w?Pn4pxgx z9mnWY*xjLLK8-I8lfLIrrjs1j`f1{{t?Osm;BP%XXrumTI_Gq{Yp~*8c+GuIYTQrA z33e()Q)Of&Q>1gK9d(k-p+LEdqMXfl(=%CrD$%4c+$$$luPJ;8G?iHYhqZ+#oj@@f zS0;zubCcO^sq3=AX*NISx@fKbA~8~d3LkG+TghDEkA^cR^$N`fy+a%AklJ@u8k;Y{ z#}0IeWE^abHQyghPg4{eL=;!`^wIfG;;~EJ7oNZM4b$?0tDZ#Q!@zcH;)_U^yI#LS zD1&+dDomOLPCYTMc@#c!lls*K3ro}tRPee|*@V@|n`unyTR9@w5Qz_`lz20osK8_3 z+Y*y9kD;*LSZS7kBA6yJ^$zkmV%ucM>X>)V`EXnLMXs85~L*RsNa z+e=R0LSGL2y(?53fJebk%#@qK&s#yTvo?L%Y=*H|P%Yq6222)wl}WKI*+~@-*b=z> z+^b|5Y{9MGDVD(s@CzP%qX}M2R3f~atEd882|Vd7e)a=-Uv&|N56^&K%PU*`-P&$l z?=1u21#CiK9X!Msj1^FRUKYiL9?&sBWG;Bd=zV&hrqs@{4}&B*1A7 z+>Y8rCj^&VsaBbu#FMpVVcY?NPsRoYa>`U1fgXi1-*c^hjF?bNCEZ|bXZhDBdBN#mS48F2*z+j~8eG;ra9@f8{gz=4L^gXtkEGfgsJOjO;hBAIYHK`rjZ;-3$Q&ex5z z-ci-lxDB>n{ONb4-Ppu(9=YlfAj|6dI?d0(Pd7*<5DeaE&%lmgzVoT9^iYl_@T& z-&BD0flDc&H2dJp(=6v0oL_i~4y_~a$nn6%TqipioK*r%0Sm7V7!mWhQMKc0A1ejc zeJ-Lg4hAxIi&K$Mae=y$%!|P-(1PIa5kaJlgR3088GK&qQC~IXUKw2HgvHDo;88er zx?Z9v>UZoxY{afQ>FKOQr=1wU?dz)4O5#V~Xa ziLUeW3W=9Ue8@0?`tCv&I-;)|fNhJKS4?2Vgm0;5T$ccTUQWoF>o%%$>wxMcYK`cD z67E)Eeu%3Mf*LWE&$ix(Cb6m1q=4yjld;+rOcTWc6YF|GkuCro2~=mBHd9961WB0g zfknYVic*PFZih3zC)aCA!oM9ugR?1kO|raNREROEW20h#7O+$?F%Y>c&ETeI>jzN7 zps7!58_+tf5i(AE808LYuFe}{t(X((Fm8mgsYNGu70Phg$IHPPdcW3tHmQRf%@Ed$ zU&p(w=e~)mOg}teURRv+Z*|}VOW%e+iduB7#a`>Cbly3}iu#yFZr@AJ8ejLj1|L`5 zZDi3$Z2@|cqtBB~uqaC2f{nGtz$*Q=+nIfIio#TLmYuUpqnYnp>GNzbS-t!F?a#1{#|=sBa|=1+96IhOBn5c2^5G&bjT0@JqbDGpD6i{-A8vV85?Cht?!( zPTO0`ZmL{B_1A@|uaDVXVJn(zbyoYWC`?m%LaNiY@;dGDo-(if9+DeQtvh%-v5phX zCNF~n$z%M1iaq563;mzaRLMMvVlD6udhKYIg7*W?-Se$zD<{_`Dd*_w}m zidOQ7`9O!qD9j?*&}d%sRlP=WdUjyE9rhn_M}dQR)O-VN(pB+`yaT`J(PYTaa}YeQ z^nDDzK7-zb;4|4`jF>U_ylbL%r$f_wwM*!W>vFlRDAh@Fb@cW{WJ{<$ksEAzs72e| z`62oYx=-6m3KvjpEBO#?0?Vs$qLU@hX^S?8Z^SBiYpJk+>np)s7qsV6^;N$G{8K&< ztPaE{g}5PT0Xk+g1wW(2h`pJ@jR)`0>ar<{FZS?u=Rj>|%^LbDjn$l`mj{Avnj_rd za-X_qnpOP`^au1LI?zc+FC@Bzquj>JH#v#@tTWfp{abUb!KUtybZ5WIs-!RY;eD4k zIBldj($j8_j$SUohg09CM@y^6w`n*3WBTC1DI5IC;3(}uTi(^xX1C0>7|2~nmT%6S zCzm+kuDdqCcZNS7c^cr z#OJH+R@vC%w6NmE2WwW@t?c8dINMXaG^%f@X*_QYTa9COyY-_$UxgEuUBz#H_}d-l zt&2ft-%-|L9PaF^t#lpMohG>6wDs$$@ePMN8u!mF2Az$m;nvZkI%|2P#kd!?JpCQR zEu-m+dxB5@4Gwn%(M?bIy!t9wYy`(TZZ7rdgFA@ISc&d9Po*|>7&h4 zdTU1`d7`oLAo*DKlT6uKYI%g%#Y1~3ZGIC4n^^a~*>?A0=iN_jJ=btxa4%yO0-qJH z#d-|}U)6JTBn^D+`)RvX)!@t2S4fN%ULk+45om(Y^!!2UIB9>NZ)o%m9upaF?sl{dX**W`Ckyc!->N=L<-MafWucDUOYID-} zELriA2M_4jwoT-3ghOTD^Mw4JZ5?0IH{rp$NsYALxS{=I$0p1CEC zb@cyVC$?+TQuz)9V%(C}uQ@dXazsTh_V%qAe+0UtElqXoN20< z)@7{xAQWnP1gxpeWOJ@&m~Bc$4JED_>OH)?!%EPF%&>ftZqhh2Mlfe1?~B-rrO+92 z`!~MLy2$Rc_9;5uG1*JvVDLuQ*l8{9Hf^vCJJ;H89TRbXt!GIr+?#6J#_sQHOUrK= z_XE#bT23!eRORoTsZ($WBdN2)fA_q#*b%$|TXFtt{Z7HFtDV7SU1|hdp+;jPOU4RY zi_cAgds3hg1uMyY%obx^yLTqCv z7R=N)KnoRHi-qP(fAAVFG>wiO9sJT;MmM;07M2|pZ+|zE0<;Xh_|&21{7;{6kYex# z4U}E^VF#{H<%X(vHb1DGQ!lGnjH{i^?}1VU$oh?4owtesPCYk}|9lEN8rk(Y*_5fg zJ9mR6YVSM|Ih-IM$622xtV_4OogF)#gJ$x}CgNwexHeWi%)B*rPJeCq>4tBP3?K3r z%s>8{pWQ;tHcsCotVJV}^k4>*0TlvL0QPdrI7yq1#g#To=~Z>aj))$FF$b8Wwg^q- z%S25Y6E^Zp$T7JM(|wLDWz3T%2w*Rg6iN_H;u#)m*i4IrhLxBuZ2CWF9MLP4B`ui+-!YOMhvIde_om8X zGT2L2U|0(z54|P+|C1vuAg9?05j+DIMGObUwjSd;^Y<6>b7&htNyEyGfZg^LvCfG5 ziU>A^nmO3?FOomq=Cb{hUHt8G-2TbdiPzV+p1{^XZ`b#7#s10m5MBm5_}eZ1;qt$0 z4^#v@Xbj^(|8}v||H6Ss!1&^o3w>n~BPKV1gn_P_r)fAs5B4gL>R zntq?8Q1vqJGufwT9Tj+4Tic8!bIscAVUJtyy;g~8MNr3rGVKXIPz z(^Dz7RJ>v>&~nbhz(CK`XhOg7o!{K9wFq4?Pk{#p7G@0Gk||n|rOF0}B=2lF<{tx% zT`bsJg})m!(zFxOXnuvq>U#N2XA($*)Oo=zPqvYM)2>{z6uWHBF{_&O;?xK8*73dp zP_WJ-Jk+jmDUSiWo9ZD z^@C>et`-sQ(X0#!d^F;YFuu_qj)&f+Lm`7p<@z!>Dg~u{(pzFPqG?V8@VIE@?{+dd zdPiREC!-;Abg6XL^xk5puS7L(COH91g$Yx9+IQdj9cjjJuVNbh=sdWtZiSKXnWoU< z4V9WR?rR3PfrG2t<7UU8S#cXiXLv8GTxJ#PtT7^hvh77+I@4}x=0x;}$}W0Y7PjKo z47sA-a>qvt$Nh7qg-B-*zdmpK+E#ZuwWd-plzYv{S6P0yixA-Z>?2#wFX%%}GsYOX zb}QZ9fMPb~DW-~9F<)Q?ON!Y>OT%i>XuQcIw(EgNL?s}z;N@%>Z22R*Qz1Ev0F^)$ z)J*OO^_^k#%?2G+y*rJvJ*Q`nj+X*hC@0tSj(BAb&NCMstuM9%r0Gwo+v?}d%sJ%&e1dSnEKA#qCW4o zv)}q5m;VsruF?8j**_kiv$Tmldn*5oStDlT)iozI=`GMz#!W@Rg+dp3#R*ObfuvxB_za^dw zQRzHuXUdx)!T~k)(P6S$)a4n4tGPRYX?O}PP*`2%sQlY6-i3-NWfee(z!ZtMvQh@a zZ95145Hy12IU19>XbHu<4apF6pJCvQGi}v-LKbi1df0#q?#m}-xEx)vm~O;m!nju6h4U{PH0jF>l-*^O6%-R~gzpeE z7f{^Nk}*ER*}Pq~qkwe+u&NvaRsu&`_FLgtw2qpvkkgh?hXx&B5SDa`f#37M zchJuFViMazbp<%aYewxrS@F4{U(|dqMPwo&WG6wWs8lqWK}2)F0_%(xWUc`Z10d5- zTS9uw4ylcp0|>PMgSWh#pU(S{_9m`v3sF({D0417Xm@?<9N57rq0rbYmB=PD7FI!w z!d=T^p-L#bK31p!$Li#^YXRlKCj{=Ru6YPsC6I)8+vpVv}%r^2;yjGQyKu48H@U{y`>n zDlLjB)Pr`}3m5J*PeEYsQWZ629rbDR%)7*-^Sp~7K>p4c3&rll@qK0YR1Ex0DTb;} zrSeozQz%YgMgeZc=36xfm=iRASuy8oHI()6`lTqN2@9)$l2FAYfH+1T9JOXb(#1iH zkV2dFpmoPFOXbdQZwZzG?Q{b z#QK?#9Ampxwq^-T%!1n`7M=->B+Iw={hP7^ObZ{#<&e&^xcFrSO%1jtstIJz@oNrT z90=Mch~Ce^7^0 z3IyvRXx1k+y?^}}{1`^VG}*J@NvBCD0Hg!3*OaUnFn^q(nsvczvqV_|09ck&Hg<<{ zvpPdKx^mc8VbNyei$YS^p%{o_|Kahw$`GZBD^&hPtz|>oicphB=wVH}!8P$k%~!>g zn2KD^_)D76e>3uH^u39QdH6ly!7|{K)#e_yZculR+DT{QlYQ{BNeyc87irV$#h@=^ zWg_uW_H_%sYX(m}V*@H5(bPjG8C224+lQXDIu6vl9`CYckY^^(*6lHMWwP`7!_279 zMT{m0+lC!WDkI!@Zu-fMN#-&bC#-0Prbs89qrB%yo$vbM@I{8T0hZ$3~Y$=d9S7yOv%S$q8N5j%{ZrNshczvdEN? zx~PtxP)prb#oeufh1cm`RP)oSCHzNMtgn%w8){Q8^t&C2nR7$AqBe6`_f<~~o%P6@ z))~4qN0+?Y^_^19mZ$`Rxs(jJZ1^*zL|~B`J3xIYp|rW<^m%nXD&MV#vbQV?it|Yd zJC%e$_-=t(W!(96L(ujFkF4xq#uN72)DU)Rl#leg;gkZ*IS4|V`3L@SEKc1<<`7HN z*)M2OcGx;>0q@|vTHT~iZ8H~V$*BT7LqIuY2lIS~s3BzIwbcr*~iozvP`uZ20bg_c*CNHe&3D@7fJd`z4-g zLa6!E#TS4K4UQQ3QhViG^9Hk={5n=NVvJ+}8I71Dn1NPa)^ob}&t7JPJH|+Vex|9S zNxys0bkKGkuTwoz*SBe>fg?;i759hQ>0 zX`5Go3=-3Sbb(CY8=!=xF}5Or+LZRiBiF6#R+IT%UKeZA8ppcTx#OeLxo-6v)|&cqpSXc7jH|O!5qP6KhRIR_j_Ho<9EH@ZS|PVdUSEC^ zX3I+SO>kF0vH^wc;>B&GK{hX6+(OOBTg%ZE0^l=vzO9Mq75irHz36pog>~xz4Gp5p zm4KUeZ8D_Y4|b0~ZQY;f)=ykyTd_t!S9|P=x`4$#eERp6rQm&g$%ek7iw(0Tu&%;_ z*S@xYvAE!kPH}#vi-AUUJ%4SuF;n?rzBqhnA@b?J`$FusT!A&I!zXqtv3mRvWx&!J z-Whr7{Y62FEdCcAMUC&%`n1-}@}*Uc&~%zMIxmqa4b_Qu(>2tvtwT?=FTDpSFLkTL zFV&6wlD!5ctonEn{${Jt1zl=(j%l;3!hX!iBaH)>4zqq1)J83LwzGmaC2X`u+u!J{ zs7rR!_&s(1qV3f|!UViJJMc);5hL^w@1LGSl(jxTGL;8!?Oh$bwKrb+W{2K@gn`Xb z8he>`YZNyN-PEI*k(ZaW5MYz@-dm)N;5zFObIrPfgWwHPDp4PcD=jUAJ{ndcIi4pVuqU!Hu_gk&rZN|1@ z@eZVaDhO(wn){A^0WZg_H9dG8Dg)aDMVoN{d6jquO8RO}k#cprvf%f4P5)DtzPTl{ zNTG0~6fCWWP+KVl^$eQFHMBFWbNp*nqwqOj`H2wuuh;3A&HnDz#)V6NK|bq8HM^K@ z(0%Ti-z6Q?hiBTMo3Ln0V)-4q@X81o8~)CY>&aa@rd12Ce4%yIM4{Q=3RAaB-_(Cq zy;WSk)Hylc-Tu~}j*!RMW)Qq|);;_k^(}1q#7~#q4+rmaH*EXjnZLe=j5h7)Yw>;V zr60q!&AJ8(ob^!iLiE>kI~48L_t*|}dCy^1^GoLMeCg-=T2oxJU!Z6h_kPj!Z` zv2&UWk?gkgx$45yR@&iJ{GFum#yj1uJFNm(X#J<%OAGxSPZr)f5p7Hr-umDANGkA7 zi=L%0=zJx5lhEWl)pzwpRux~H8zJNV*OvC|ANQl5wOJkBNrW>#yJ!8y9%~Hp^Xs6# zLf~rMuFiFpo@hVqVw>VmmSfgWSZwd=V6lB|X)M>?^rBxMIO~15?*4{F4t~{N)Z0NQ z42<8FN+<`TuwV(x}Wi6l1wz>txIL6rbxfxK36*26k!*iNh?SqV!~ z@uw38W(z4_;KI&hxX8Q>Xq6j$SUDsRtWSl^X-9h64ZTf5mFbCA#cYv{cH)I76n9N7 zrFuf2K6w~@U<^oFfYSwpZwO8_Spqc;-Je7t7<~|&Hq55bQ{ul_2*niN%%Rg$VnmSw z*D6CjdbwKSlkq|o2=iymKPATCB)|xgMQxETDBYN z7IEog+K40?lUqcUJPKi{!3wZRmJ4h_tz8gW?LtMS@NEO#{me3>`9OF|{jaHe8CYsV z-pA^~Ll)lz?Q!`l?u|*icA?wAEmQNlBja}Y_U!l&^KQ?oLm|IA@{rB_GkA(Dj9anm zLmU0Z*idMpG7fdZ?#p)SCthy>(=DI5|G!{q>&1q0TVG;K{nH0qUlIUu!AKef`;*1` z>-DFD3uPh{rPs4%b>q7x(rn>Eq%qWbj{n>d_0Q)-L6>>b zf9a(=^|IgaVpfP55r3XnicSm_xY-t&dFOa26>=PBFBuWh4F#>~C9dgjGOd@tISF4p zt1xX9_FFyuc3xXG*s?x7QZV;1pm9U&w0??>937=i9?O)@uFXC0f0t!?-lFYF2JQ>8 z21yJizPyu`l8C{~DDmZ$ta8wV3F5`rf{|6quX*P&^uHfESj@%?8pv@b-vgf|Maoa; z4Y(6m;Jv(6&nYky_DnfdH)5K*kTZ-JYzeqJ3m8M*TEgn6920-M@Q=<@3v_996YVZd&PpV+`aF7-*F7U{*C=ZhJoR!1< zMpm8UG*)+>tec|NEX>D*<@{1!9vFH zPa6*TJLOtdvZX(*T{d^FCyQ6Hs8N=Qa@?uUbQC-z5O2Y^y;|( zjFzip-tTaX&Qes2xHR|XOPPJ@Rk&tH{bSK{YI8pJiS~~*%T>kyp}yt$JQ_Any7_U- zNM*d*0Yj?Xqg8D8`jg>*&YXd5G6O`qf#SF?#&$=3I>j?t0gQN027{6J{RvSAt7ur5CPi$?$? zs2Re6c(h>tt}Y8RCWuG9yUV(QprumQiQ<61BwS`=uEj@Hm0E0r1~8=<3)?CIR?re6 z1V(^z;TdA=_U;buJ)j$)b)qs58<2~kU5wmnTbpa4%Bg@kHCR5&(a=aW@==icpv(~I z9aMEEHoU?`K_SpGqrbvz-3wWIX~y>KW9DnThk$A)OnsSY*;%%|O=RuRa40`ba`L2T zZzduhvyNINyQdBsU!H&qXXfRcY)aqU{ghMQ2>OvB^|&f*DXD`S8kL8da8oNQjTU(@ zXa}`?Aa-o=?$l7l0de3f@QN^Sb7C^>vTcxxa07)vfs?GHMtptw!dCSl$G)T~m{V<1 zT%d}qOq--d30O#oR@J9L`tsu;Gl*d&`#~~vRM=Yan4v0dSI}%m!eaXhu}--~us;x4 zASA9&PMzveC&p)>1~Mdr!3@$>l3>vtPY>$GgSOEbD%eaX#J59W32LTsqWz!NeZw$( z_^>&fIhqMD%=#R(Kl;Hm;E)Nh3e)dtlmU_hCOEfZcN%h!99QUeL1T1~7&NBj1ffjm zE2Z+R9W}k{nr8r5Bm5Lgt06I&f7#*_C`;~W2P8DVlHPjTZV!+fG)p_&2F|cdL2g3f zpLb(0m-O4@GKk5B9Eh09G9L!gGZc!gSyL98*K|1GwKlLSDnJV37l|?_M$l9Ock#2K zA)N$-W8g{r(a?`~JPXEC=s;{7lwSrIi}%Q|fm3K%(Ld7c75BVq`sXbXb6m9bkQ;`q zqGjh8fFVnZg?D+z&*VTDo0-*tX`HgG4jl!I1H~aLDU%-ROm%Lo37~~cgluP+y=7Hn zVgHqXgn;8ZHl>5FhPX$0gLEGgcQT12=#T;nJmr$?1BmK1!TnLBhXn;>R+c4OJE+G( zq8v_yq?u4+V;~ohE}p_YhX0b((B_fzOS&)fpHTHf7z*O!tUN4FMZ$hE)B%zJl(sT8 z5!3{QXftmq5`;fP%m?gufXyh75f4p(Dz|>=55g|4tbjP^XX%}1e41xy4>tl&J%G9+ z#Y{QFe>1PRA{L^+s>&gUq&EcQJ1M5hF|huyj%O>`W$j?+o&lliHIG5MP!8b?P+ll+ zDaU~i#=?7yk2K{XtQw;-(+r@4+C-4eLq-kIasrI0u06B3NQi@+qUDTM&bydRQ53wP zYNvKc6o}^CzSR0HSBmN~0=JT#)k7_ug@l810Wmutt~tPl;jqBUZfF`?W?l3xYEJRX zX3;UxoG8+%$*YHdK86A6K}2zIHBmm2glaIO_}kqixywh~fQC9PMZ!3$JTV09Jk8;2 z9Ev$5)7k3|*&8R%>shwU2(_i@*aT_$GY2JxkWd%}hCP;pphGNl{=Qt*2wIKu?31ml zT4vmg+I6d3(jtFUC!JI2RM`JwT-~C^|6ITGzCrp$LXyhX?-Q^#w*QHwKd6bl@3k%J zlM~OhU*<1(S9s^j%rh&0V(u|Uqwa62bFA$f_SE$CRyT7jf9}}qK*as((6i@O>nC%bZ#HRn1rb`QNE@~d>-79&A{8@IX>y~aT; zw0AG#OXJKUQt834sHd1F;NqyBqbEDGpeO={H^o@*w_1GaO7jjqO}(b(*Cgy?`FKQhpUGRwpA`#7HnMfP_iEPPw9W4l z>O}M!JHi*M3uGU?*ZT?I=zdoV-gMPF$R-AL)HQX{x(>b^bcZ*sYQemwHN0(!1xxiG zjU2JA&>v}YT|*;whqq-eL(@OXyxe7vvFhyo$M;N(IK!V)wWsdwoBQ(qVr${LJw36f zweZ8C+dGH$Wc=u_XMU~p864cao?k10HmA+)*$=y^AMSrqzh&bP=GzBsUW<=-`#dA( ze#^6R?i;U+SYuSI=HH;9JqQ*uHyGPL042$Zn^Rw&<6t=vE+33{S# zAmCkj&2YAW3>NKJfdd_KIRq546x7c=zMz5M_PW7qMioSsW@IdX&JM+I&w)m!Y)h`@ zty@zt8~beTo^BhH`>(q@td$Y-aTaOj$DHat5$q@#(du|}W$3g8mfGCF>A@I^rpGhuB_$z^_Xdd&b&J}%jhR3*&bd~_gFwS@T31>pPV|j z#s+u_v-{(OR>6l`qaV|A;tjqvcdr4bs3llORon~U-Mv}o=?kzc!-;k{z)op!LNiyi z##G0FZKeexR>dmnl^az(+O$`;IrZWb`sd3U$Us&J7}u_D>q=sY*9pXW>7!z=W4tE&Gs7uZO^&;#Pe*m{dxim}4A%`?o!2`ZJe@KKu4P!0aIJ zT!Og*L553R)uw4HpjAD1id_V?Y?6>NJ3s?%;!zh|g%wL|OQ?#7)~);C%BDE)YvLPL zDV> zcPgO6eMH#;?QsVLd@&x3KkrRK&OYW`)4#zd=^p1nnz$CpZ7jcMIWrfS&Y_0y4D~vo z9`A9c^~B;_eM&b3?FDXCaYM-lL_UKw!q{~+j`56D*DdrcXGH}GE@s}EKXdt*9K*;d zLYgEcI%Ed$9j*7*c$XG^ZR!xK_dAE)aw~Y*dK2!d2L5(E&Wy!&Cdsw?`w;s`EUEcn%lBqNPT|p0O}X=#UN}_F{dYL3*840tvU1k z@qjD0V0PWJsr|#1%AZVvXLWMr7EUGol}18=~QTN>@)(19J9@kzTHYp zX)SW6qQ{@-sxr{ny5NgWNX(NXx?+tJX&+Y;NbPEGQgdGZus&d=$0yt6 zxAk$=cwUz~CQ18HRZYsO8kgI0)D0y4?IB<6wH@2mvL#FE0-x6IQ*(07m4tY9ux)*a zSq80P9iIU>*kVxxxTS0rLsalC6~Hzsv4<>p2LsW|W`vzZyfKL(A+a!*me9{hWm442 z%S5Uab5MW;!*cA=!uYQYa`x^2F$UZ({I?iz!~cK*SNfmDfMXBzm#bPz*{=0e`PK|1 zni*sdVo7kRJ@kIAfxcoSnpzoA?Q>u3WNnVW&`G7wnM}4j)~*;5XUm0IQ{j=WISQ z#T`DT_1v2NUr;ibW&AfD_J95RXYc!$WM-AYW3h~3(y=<(M<$Z>#NbMwmKl6_%IwOq zJ_}A07x;^y==B{)F1Yve$_!%}ZnT~gu-A7!xjNZ@JpGV$A9~`8j^-rX!zEX+*K;Rr z_2Q`^9RL0g>65S13dlvQ?tIALD#@Heb#hpghK8r|T~nJe>az0#*4x&qz34Sp?1Q7( zH9pJi(G8>j(H3JDZjE|>%(~glzj>Io$V56ww3t{#+%@J4Ifa%M^neZ1F?l+AfY#hX zSS^S_-sy&g1NU&q+Oo5BC1$HVtrn}R{*a7WK&5q@YKZ;jcaUgZ*Vw(kBMsAMajm>5H2Rz;NmDzL+>l;Phg(%DPdEfv zOu4sd#+QMl>po~No$>)TrmL^>$t~k0r_7c?wg^oXz&CTwFXwJ~Jm)RiD~LtezQglt zlcJf0m3tlmy@3HsG-IlZsqaBdb?Uz4hy@7|FHHSZ0gors4Wn&=+JZaP zIg?~VeryAs@Of%p%V6%PM(*@K^&oRSF-x3LUt{k;tdQG?38l;EzJcYMY4Of*%<&4) zze?HJ@&ET>N7%}+P{^m$&04E%>=nv7og;2B;iHt3{bC?G_*z`tAw{b$CNpBQpbMtz zC&TPbc3apr^HBjx}BEy*Ui>=L*v(@-)g*Lshjv#FOnJPR+pRUM#CtN!ulK z>K&WgVV+l$?zhHiM>4&^5K?S`>5%Sp@R;Xc8&FagiivtWjwGgjtX}V6NrXo|(=PE5 z*Ut0VnPKMY4Z|9>>&DRGK>N6l7^f}Fu1@t2pKBb|Wh(3x^m9fuTyvco_nDW)82`ZrsDmK$XSmjn2ffl50VaLNdnrxGN4uE&poXX-=ECLo;KD822; zz(1N+EX+>%*!Jz@NDzEJ3a* z2~svQ?hp;@Eq6##EF{_Z1MM`j!7hhVa;pJ&4)qm852dVdtbxrWiIsQT=Xd_e9c6Vz zH90()LQJ9@wcSSt>C#g?7Cr;M4%w%bNf>~L*t?NKM--Phn(FF`mH``g9skB!}BF`KU#()AjlDR^hamPn>0u++P=hi9yAm>udn$$k}_zoR{ zQkdywNeJ!w28>Qf7#N>8g@3RMY*G3K05pOZ4bLna@^@fXHljfoVzU zu!FP^YF23r5r9u&o&}SSlz%Q?G}u7UjjFLw8MP{^uww%`IVNkYg@ib|q=p@9cvE8Eq%a7`H@elL-tnN8MUCUS zPFmtz)+r9wqIp3b{0N}RXqX=~CBRhSGG;eh7mxisE{_i1IvQ?~VE`gg|6eq(S zV*)2<_7t_d|MkXpj=Y{YQ?MW{L3C@8cnwEFr(;_*)S>`g*K2@Nrx~sfRw#t?At?tK zR*EV4f;XX^r*&SEVg+M(_ zTjhwnogUP1!=u=68$tpU+Nq>3YT2sQ4-$00p>tKlQ3HJ(^d07Yz>YXAeJJqKh%AGC zjXGT;!O!3vC1I6uP+^eWWykUX7~OO0pQPai!@F?HM(!~0W0zGnYYlX+kuz4Bnpawn zQXF!J6MIo}f2Xd-Hyz>2oTW?VN1A#?o2C8HhSL?_J-$_x)?Cb9zE@ld=yTuJ!-yVOsA<`Sw zg&jxfIeu5tU8(k`SB<(CzhMcZS4&&OA*Bq7hIdX_eK!Y8c*SWmN2~_c zTYkUoCMRSUUSGXV@7f@kx0EIBac#!)aEHX-7@fDwygB$VLw^rlRCmUg#8 zL^j$OPBai5{>c-ShbO47TcFg*!5?|BA1R3gVjT>#d(e!dhFU1pb~kMH2-8!b0xEw@ z{pCOV>OZ5KS|JF&qPhcG{IE6N8f9dF$Lny-?*o5KD_q-oTWhqETT>!x)@OQIFWIDa zc!332rXM;~j~U(iI}Ya8~nIHrDP zFDGuc)6Qd`(C@J;ZQ?J;_j<0h9sA%4I4n4d1$T^8pZdl3lCKOrUflAYKhpom>G$SG z)ch^_-uy4A`B!=VhN@mnb}qHeF83{4I<7K}0SbvDzSAK8_Efgcf7)}6^W zGLZ|~Fh8eWnAoRvPO1_2vi5QR1YQ4|)<~C{KJEh#y$ZH_ozW!0JH&*A89X!`xv_J1pra!ZW0xohl? zv^LCo2U8b#8YX>KdJXE?>8-gyM7xeN2G41C+3IsnW?+uCB#sJk6L6&Ymm-roLh8nu zE9%qQOk>v*55GWfR<$$Z)-Uv|qm4&7eX)M4XeX}F{(jn<{+iyME4IIXM7%%}FI>4P z+BZ#X(kiP?aro(C^7PSS;stRn4;Q~*txh3)bF?~jVAJFM+>G1(jRaytYY;0d` zzsUt^e-|7IIAP6Z7{!^+eA%_z$fg4HU-) z7Wo^qBUY|C*L7}8eE}wBm$e2-zr*L*qUD(B5epN-CTJiU)W5HtRGE(6_Mn~c!6v-<`p4>atYxcboY}{)m zZ|Ix$E1PF|TAxsP0jMh%f)bK*e`Me#9c7&1wWFU8mg3nBI1dBS!+v z70c(y%_$ghANu^soT!y{eM}tyC%tA@|2RvmkmpyfS+i`jl|Dcp5l1IzKhXv99+vd@ zogKXPiXDN8nr-pQ&pt#vxy=oqx45*~<%v*Pp57wx)iyf%ed{o@6*z~12VGFFX?#{i zVu>QzCF|Y#tz(*?!(Rn$Vjff_12TGmf5_)%LMU6domWZvbu(suDJj~Pm)Z%GXDSV*) zvZebo6T`JvwL}Op)|7WA4UE!~tC?Rsk*-Jh7M{SBOy1Bmm|NCW-e+&oqR6rQ0aBlU zl?btEVdliUvqc@)T@>WGJ~R<%GxoWKqXJnG+7~|F2InE8vZ3&-DcZ1t7;|nGOWoRu z7riyxd&_*nIFi`7PDoAN7JMxKS=+#K%jqA_&?l_M``Z$Kc{cp2F87kL{9!wwrzZb;PW{4UpS=xvqLFrW<;%&{@!*K;Up6PZ_1hB@ zUuw5&>WI1z+rOobSvQb~mi}1Np0Lh+b!bt8ESQDj>MHwvoN#?IAmlA_5c1XrKF?MA zUU7ySoza)RL7J~d|GmoA*c+`*htu~ea#buXFPvy!wl4kd%hIKHtNtA`4PS5TcPq}z zPj&dC7uh3C;}3ryWW4nC)|)!%a8Azc{;aKda%EfToq?~GPFA<={q=z*hEtl`y~M7i zZ&bap9Fl3Kw|HBh+Djft!wz$LKWM9&<9n_Bw|KQzecbB!U|Z?e+rONcI81bSn)G+WnCCoONJ*c)&>?e@Xu1gHE3WDeQd4cJhl%R4j z*q0Cy#!m4$JYP0qUwH8KQT(e9EdGzt@8Y}G>38vU`rVFdE}-9Kz@CfWpv53ZYWwFs ze}6gm^m^*l-v0@yQ|Df4d66~=t2jsqju!J^-;YyWFz?BH0zBHMNzr`6BbaP5qth(ZqF|HogrIp9UFSuEpyf zUhh6`e)4qV3%>XgjG`8dFZDcnd?E7G*@yh-cn`L)#_6M5UeqUP;rEQa-nL}jLlV|A z#~0KuvA^1goU4s5Z28uc>jUqgkb*J#-#sv`{0EP0XuawLOaK;q^|x!I#6R8o%-g1V zgx5>`deW82c2#R#Qu7TX9Ug8x%sPyHAnT(I?lAeZQFz0QkY7bNQ*G4SSB4JKgq|nLaesS{k&v>O-MD&eQNgNUyaX_DcE? zrhCI|#HOu=YP)suY>Bsq9~rctFtjE2mS@u(kS23Pe~KYtK+A=$uWvBkR!cn(k}^?R z1?EgGbR$|_dBxZ#?hDhnOP(?P$Q*HMtl)bjNte}$m>J&8bHw~)pqO_O-UsieuX7#v zfSJQYOs`ui72+e-M(!NtZ1jRHiCp4@aO5l@^CfA-OIF#8d*VL(NM0G?Bp;`}@ELv?DKCC8L)Q%X-2K zcc?ZbgpT^4ML*J~&HP1?{}!>Vyw92U5R3qfkdqHTWoZM;Z460}`HB}Y#x1UnxT%@8)?fx5(N)B3)J4s$r}G{vv~%ZMUTRjQX3Tl5$PzEUt$8 zD#n}BEKbe4-h9>WIH6Z8c+h&g22ReVXVr|6^6S5?{hn77ZzBs&XLK29O8!7yGw5|k zsVHKERFo0lsodFNz{MxUUQthq@=I@ddhS)?W3VmtglulM-0at-^Azn!Yy*vioVTrz z1V)fQEQ7I1O!yVHA(-rkbWUT-DxHy$f^rV3**%P__IA!KseD{P6J~)mC8>5hCft}C zJ92qR3)O7NKB%^AR0qtMVr}>M0TZcV-`f4J%6FmxRNE99;V2N6vA7;}R*k>V!@eQ* ziFRd&r`-3b_U3d3ifuEEEOX|Y6*E#ll=d6u-j&pc7h0FRyT>aFdY9O_7Ma)D>za|O z)PKtydC81j*T}oeJKWA4t&wYySKXdl9`#M1{gS&-^PMwJX0~PxH+Xfgdd9h|n`_2^ z+l%y7v18$97nsFCwAIs!oN7=f9e#7t^5JSLLfe~8`;-;cXohN(}u^S(a)-1-%vURxoLEVhRv4 zOv4Xq>ktYBR8!M&Ngm-oj7+4~yUPejiS0~^ZKwtP5cC*DR1iz&RIH`#|Dyg zio`S$$^v$nJb0K)^tK_g2YU3 z0X{3Kpz_No&6ol(EUu_4vB&tRZplv}UN|hb2oc`OB?D~PZ^z{rE^~~#%FYHxA;8^ueXYkZr}P5>)v}?(M;S>mR1pj}aK^~B8&tYj*de%Hde#li;I8gu28xABaiutO z!82fMRhF|M{o*>4PU03rc@(#^gHFLwOPU2z*Nj}&LtM)0g5BEo`!(yJO5-vr9YLg1 z0VrF(OOnbPh-NSm3uiT%Y(Y~=f>BNz)PItR^~7uz_DDjCwB3~?IwLM<*Ap_q43 zNNA!$+i6xIu{#3VvBd7n{O{}gUOx>~80Z7D$4GG7w61%w2`+epNHa=V0s1yCY1GiO zLmYiFbfjts)eCIP8uAnbKxiDbE{njE)T_@sOi0u$TZmkI>1Ca%@Hc^YLxDCmCj*ei zJ@mYwR8}dcDur>Ij87z^F(lVSjbu?ZTNFJ(Z3Uv+0HX|_WTe`*0tROs>k-MpIUs{6 zFX0wa0D!j8S@KbH*Ao&_lAy>HnnZ0Ftm!*^Psyqjt$_5jI{}AN<`}|XNBB`*qO2r> zud;49D^~&b)eJVHLlb46h65t8m40L^a*k3tE(Ym?7FJYnT%Ru9%(^Jv1LuRJs`&jh zvcdwAQ(YWWXBZKV2UTQyPRI=U`!c#WY5}5?5GIO+qhWGhJIHVVfJFl%5BofeDW+Z? zaLa}Y7zm$Toq~0yUAQoodyL#S*xxxo+ErpLkJkpAZbI*_D1KsDw1LYG_1&b_b*#)n zg}V+ScS7sO+I97)x+v6sv6-HV$D6Jixhv8>rS{C#$$a@0s?qz%EdLc(ZTTv1Yj{Va zrDu55BR|KD}FYTP9n0ZK;IVpuvpIZ%<|3gQgy0)#<6iVhX`;@>1|LYr$o1HPH{h>;vs97I<~!vaZi+ znvRzisB>GH#fl<3f%_)`1=0jXsv{d&`-+yuFdJb+&1%Yn^rgcmx(B|oTu#M}J~{Jcev~zQ-p98B z0D}qt2v6DCO?LTLXX)<7a4#`a@y3wWh7=ZSBt!0LfGpDS{xt zt-AXLGHUQ`WVK_p{dS-rU2Q#A{6Kt4z>~T?aive~IY$>RcR>`~z4OOfHF~22Q#z!G zJxp#q`5v@_bC2C!5nN>BjgLlmJ&F=oZnCqB}zF`f60-13;_&${9 zw$@_nzLjn4M;Y~g$o>7E!{jpg-IwD}YLPc)cit%Gy4ig7{-H0lN8WIIZV>(e`-C5` zhpOVS14H1_pz8ib=|Fos2$Lcq7p^B>)E;Vs82Ax;toQ!Y7kgP-QUK>|DXy4{?t9hF@+VN98u>6MNAfp5S}`*C+p!epWws z2&qg09CC6CZU)VWPHFJV=d10v07b6qpIf;z^+;io_U)=P?4b0%uI{k5rEgU;9sM2l zOvCI{wryFe9-C0l)OQuG4ICBVH`;fcshj2ljJ*gZS)vhn;t^=O`hgsL`I&wdJV~KC z+mh@NyN&$F(;puKN_5z}l^EK}<8bs(SP#&nV#>*1;9^su8)z15ZJ^?noE!|p_#UUH z&MRM;d4V+r+}%^rC1v9Nz#-c+_zcdYiDY-g8(e&y!VhCydHJQjf+PuShiT3Tz`c zwJPH8v{hTtI#ptXhu7c~DOP18lWddL~<$ zuXK1K8Sv&4O7Mq_Hn*H>D)K#QpdxQ0{gCsjKzrNv6Vh|J|4MvbpgwdUi^Ns)cB4*X zYap({hw*xl@N>aW!B(l-CHg28_-H3-^{;D3$YHk%Pmx9UjajW`e68N5A~mZ@0Dt{Z zEvnt==84X6_0oT0yYOu#f5XZ>kbVUsrS6?O-y8h|47VrmJ+Z~J3+%_@^|6V?A$EbJ z8XtV5d#0%Q zqPt^Utwp-WFRKfq@!Nnhm*2+(V^x1^?u>l#B*f*V2X-Rrvg^?2*0IXMuCd|IbH|cF zT8g24qH+^CS|&@Za&4k~Gl51UXh! zDY6J3hEAowyaHUUWdqz6T9EG77a03Vq*GN7Yf4+)W{w_wjbLNoO=pSlkS=2si-A;! zjhH(!8)5ig*2Yokd@+PUNesxZ#7QvIIqx1*xf&=Z0$v@Sk^2e=AW*y*)VPw0X-JFW zu&kwIWqe42ud*32DIu&aER5VSrbMDuY^VkiE^GzJ4*hRd!8hn8C1QWMf9Ss}jq0}n zVqM*Y=UtmJk3NJ%sPWgn9i&l>*Z-*39DE?N?l*UHhq|6eHCQk5rJnA;TS9yq8Tvsx z7Q6oO|NnVZTYvQxeyBp`tmkN-WjY|-!BOsJYxB<^zS&qlh5V?4nCMiJ$Jvq~$Jdyj z04X;@=J=&|vLVF-6=G%8PGPx2l#& z@gC&v8r1xPTsDPM6)g(sQDsJBmyYSJx7-O0#F?;o+w$i`d;f)8*oc0h6^u~y!Yu5Z z=o`k&oV)LMpXWG;|D9Chbwh*60xkZ3jJ7efP7@RAdb8QPHgWrL_U7IvVCw7ScJK52{IYd~6+wm(ghE%$ak(zwZn3 z$*+g(o;~Q8+X`oM{*A+0cHoOCWLz%eYOBh?{(PJ*k7XTIWXbTuQ;G2+a{ieC_Z4 z$Z3(CZv!P~7n|m#WTlE+)1<)Arz92CNN}&aPp$#-kG$C-dtiB9Y%VlU8)?m2L5@Vk zbPghB73#{EK#{m+?bKZ^WoRqdt7zQWgYzH|+Ho_Vdj%#Y1 zA9stzw>!uzC>4u&&5~ssAmUZ1QDj)q^YtLbyv)atDLmg@AepH92P*3jCXDgiW-V%< zBWUb>K0ifJLQ`B zSTI?9$0HxWXGHYQ70wL?fR|Ktvlx38>?KNGmx0WT#kwnsw!-U^`ewpk<0Cza{tEF& zv=PZp&(ALsBbH!eCdVRMB5f!LX#T&|C*q~lDCo*g$=OHV(RFRMIW#%Pm&(P)*?IL| zts-s|K9xi6HI0wm&&YZlWH|*DNrZ%`W18r!%FdCLbw#NH*?`oE`m>p zi{qS8bw$i{>gaS90Kr2EEq3}&>$wYZ%nY7r zfj~wf!Z*h|E{igR`Lcr3I+nfzQ09nVOb}sMCNyoT$I^z#1fbNSjhfDZJ~mFR5Xj6^ zISEWyE1(asfX!Gtnhhs-ey4BG4|~p~ci?83hFhGNf61pUa3BCbaAVmFwI?eOo50T> z#x@2Su&)f$0z)T;{>FH8iUboktVIAvv{J7Q%%@=)ej_sq&J(avMvD54Af8))K<6oN z#%yLUUBGa#7=oArY{fO*3=IS9KuyJRw@D>)GQ>;BvfP5gAs%7yE5p}ha}>{Gng1o1 z=6iJwV6(JYL~Ypcm|f;PEajZ1PX07FqiJG!?gEfF~&YPz_HKXE!n{pykxfB9ZxF+1RDPt-*-^VckV+5z9T2S#p}jpj21vm8akgUe480;uQfu%by%Phk+U_p?r_9nJK)BoF=eG<027daO8qN z0DK0FH^j3X>1_5}1t_g*FCY_aGrx;LG*fuZ-j66L$ySQM$Fb1#C@;w;?{hm<7{#4z_r@v?Iu?bA3?z60YtQWEG_xN* zjyRqKh8w64C=8sC&tP)GRELR!>njtdEKI+EL`c{gLW52YQ*b6B9Ny$1#N5l9G60%-%0`bH8q&w-y$ ze&3^jGSx!|KzTR~ej^OOZnMC-1U;)oK9%Dsd^|)huiX8L-vW$9K-y%mR)JrJD;4$> z1}n)FVtBh5&Muq+)Fwt%PB!nra~!h^1R!!gH6xFKSr`}0zn1h_Mc2R&jGIE+R!(xU>Q_X6(I7gMao|$n&7V{qnOdiu1 z3`T$wDS)rS9EMjufcgN$zV*coOU{j9&cXmmbq+HEm_}lOIH$EX0_dhtk{z zs!zswdmjGFTk$dB8EN&Mhk&+<90}~#=Vj+^&OvV@wiZ{&aGT3HgY3eoEwU|12=?mT z{m@+{Zx4Wo0`gACs5)h;#2;agn2^hf4=l>fE{ih9@m_SAdldUS;I<^in^DQAj--3K zXRsx6um$@TD1&JePe-Av_;s^fsz3oob>JyVI+V$AwQfq5KK;gEvBa4GRDypV0Fqc_ zrvdrsK?$FWRA96P6G-AZK|s1`pGW0v1t~*g$vY=P>^XU**bEi6073fEvEna3sI{B>8O zH-Y7s7e~H}fU%NS-91Kt{^!1gm+C-zOD^rD)HoT~nMbSuF1xP46IhD|-ik;o+{f%_ zGpXj*SgH6|n3cQpUUnDR(;%F6EJzt^RkCCA7>E!%sv*C$_#ztR9B(Ewzo*%1_Q!1R zI;Gwf_HFH7v)KxCPnP{;FJf_ylO@#;T)V@$LpvJTtpbYQ%tcJ^WRONJ^Df zIMefm|KXM9hR`g# zIZ`TD+N%qu0pKZDcgWw8%OI-aAU?e{A6fCXrybLCt3f4?Kh9+H@uk4>67Ku`_5X^m zBQ~E=QHhHIrcGpNI;c9w#!~bCtu3TcOMEm0AzFL&#iipOu;h*sh88| ztG#RP(B@pVxV#s>;~Q-e3gyqH*9##6;*o3C)o82ZF5 zOsh-pBER9*DSVzCEk0> z7uf!_u;c8w=a<=ICtOd=&Rd^KPQ2nkkn6jz00U=*zY857ta#- zhEBW`+&i1Qrfv`IoqhN7=yRd2J=#oGO#pUY9lhyxHw$-7xH8woYmpzjA*{DtX~2-n zz{jL!Hgm$Lkzy15j#+6fmj#DI_qRywb7?zY5B$EFEocXHz!~lq@>s0^1j(-u=(d&a zXuj>;2fg)kX@#uG*@_X+n_tA29*z0zkGL52#zObDX0ajHpw*dqV>ZG@xDlQifgta7i`MKjU0EnJLtC z6C9$FHCM!K=I`fV4EPdBl!4v?bzL=#=pE27MerZfFet+Tft`YC&v*4Z7$A|yOcgk7 z@~v#+Huxs@lJFJ&A&k%j4>e5LG7oUEjA1Gk)+^AvT(O6xyqZpNNT~A zD4c)T44&mx0bjkDFSY>94pOfPL~UBC#WQq5-i2L;lBJ#ttOfSPaONa~VnBN^L#q@9 zR{3db)xpS1z^_}zU>iby0355@6v&&M%LSG%CGc-_n`8PY_8pXtdRiKR zgW&_GJa`4kuERiGzIdlH87Q;=mRBe+41uByg^aR-X0}2R%h+bnPykb}ChmUjYzHYD(R znRQQ{H>#jev-x}m@^JP$8nS$(e%r z3SeQZEKA_srb5OMU~y0zotI>r1elp*0w}hCTR`Cy%b&^~ViWu@S5jcAVPSDB!Fn>w zv2KKN4oz{OS@(;xYPa&9>LPC`Jr2ucE70vOFP&0-+-mvowEg30YI<1 zv)~q~uCvGuq`GyI{I~WGuSeT&k?PzJU-jhw{y&-8J#x#`?tlFMH?`Z5x5aZk_Xk_N zJi8BU@ow?@zz+|b&ppST_Uz5``rd}7T6hkIc6C+_VcUe_F$X|R2IXO}ugj8u0Tfa2 zPR5O6Nqp`F>Tpd092ZJ{^yW zy0KC0H4p1lah=oYG;M@uA1R{YTiPpLBKTu6E%?px>EZ}o=ygB}$up3u;hFpub>PW` z3PE%Lq0Z!3fa%Ya0&}63%8CLQ760Ea8-%a@;T4oMU}kKAd29YWGmH-Z^RyE9W`2r) z>zR~){KJp``uy$bRT;yWRi6up+s%--71MQ7%E<+jY)pg2DC^A1fv2$9wF!Ait^jJc z6lUXUg;rc=v60b*Q}$-yIYoIIz=alX5`q|Fp-Hzi&kJ$Yk}%?y1H@l2#qALBK9QfC zco0|?vMBUgjNM7K2>haih)iCJ7h)O>TQ1FnTP0i!X_tDb}f3x?zzW2E8(b zALtfTZ?@$qN4#c;d*_g`kb{2jPz;L-X;Ub~3G_)IsDtqhvl11}wkbn#Y9bBMDpW2x zSk(d&JmAdiA+*5%vXJJy$~pMT{630A-v@2WXDLs zRe?b5iOv_F7?U*BXlr*WGl-Q0Nmhen#HDWZ3u6%f%;u>Ecd-SnYQL7{Y>U4leJnfJ zB2-CQBT}X3UmzKNR4PzeyJ~7s3q$O%R(~1{!3;EI$lhu9=J}EZ_93l3JH&F!ln2iW zskm^XqEIHr-Qlfm|I+&+Lv$vE^wBik!1z_rX7Rg(rwof*YlTIdxj19BS?jEWWBQ0u zLwgs6x@7^Ras-$TrSKEU&q-o2+W{bz&N30JQEJq6P_|yxttcJT=WQJcZCD|IC0tfI zB-*wmY~%!{$HGaP&GOMo;CG5aA|a{1HibqD&!fXEIDV*1yBMC=29>cz8^?C&PX!)A zhf!~U+U_#i%MBtGi8^IB8@5)p2;AG*-jDTKcC-&n3VPb4VP8M4d&w`)*Y~doiVid* zU1+>%)a~GVOvQ_Pxx5#Vr5kDmY9REk13x1A zb9WVF-LoEPskmjqADBL%xKE=q>`hO>E?4)A!E*kevdty-mf|5!Ql(ITe@m-NUVOAr zwf0-M$e~EcNVDd-!c)rpV3xIq7iCm^A4<=G5Y?U;-h0)WpM!_DwE-Bj*B--krb!?VrM%o^z^2}_&>X$Xln z%in;e%1diCTf0(^MRr~cYZvG==U2P4hSPylYLM;id0@<&GHheAP^%umTUcg5kkb+| z>B3y`@S>=QU{%353Cjn@sMAz$Ei~t-1ZI&@i>*W30v4_>SYKLH9BfJwW0IxKn>IDR z(QO4B5ixaVfaZokSEw_rlvY*ioDQ6(u$jHA$})IR$T15L^G0U#L#Nx?E(u}5Br!9-Rq zVR2Cd>KxgW9q0K0fjtaKR5{VDnflEjvp$m_?6hhKM$xcIOI!4Mz=c|L zqr@5k{ESsN0^CQ2s{zFTV^sYp1$e5Bhn!BQX5yVhgE&a2WQn_SyC`dTvIeBnj!>70 zFe;!s#3pMAG$k>8To~>w7Pz?;S`-cpk_0Hk10+RQd0s{O_5co}r>RMV>^k_&NYo*N zE)z8?j66r37N64fx8|@3fv_0*Jww7)COoFtIRi3cE5Mimdc9pmS0Z4!DO$uWP*v5) z6y_MEBjDsM$Y!!}^O{q`l3>cY4Qr3-OPLSDp}L~+rfz~jbMGJ~WcVfa(VtViWIC z%;|%gsbo=13&_wQ0mwv(;o@jvBsd9StIklNcSBe=;AU8%sTf7g9y97DCZ{C~h@i>Y zD$^b_M5hB($oQ3vOCb?31+c&!rZDOBS~96mlxpVpCkD+M6?W ziE?Gnon6ADS}-El1?QugQCy)mj@Z^S=&`X^wsjYB&rhOy*CqZNfG%=N^8Xy8ab!*j zu8rOAa`&lHIUl+C>q(xAnx0k=HTk8*PTrnikMA|S+9C>_;}%5d>ypt=&u|ZGI}7xZ zPxNPK0nl;+v2RVuI|`~vNAD3yG|J1L3jPb!xoh{jZgO1jG(Xr;Z=c@!9fNF33H~K< zQNEmO7f!{m$x>BKqS53Abb(+yoy&04pzfW~<{6hZbR>jIL&)v=Pb zQ3A6%7u!z2W7;53vf1#G9LO{FmidBt&OL>Z$~9mh%jF;4)2vU<27$i`sE|tq5ag$F z0)u;A8v+YqKSCD4o+kyFNTma0!0=?4;|5zrFN!AM!36BXW)1SikaEVjJ^WLOO!aFN z2qFWGH$r5GcKDILcB!m87pp(oUgaxPmz6d0WYJV+(cc7Mrt#_eo_#?5;`YrqK5@4m z|BCmPO+gOmXWc7PUnrLzx?U*m{A8!Tr;A+DzEEzGWLb7eGrq#^rpdL4F?L_&qe=2TKwmS)}s*s-iqH_e?mUCHWhkV*Ba2~;y(WP&7+~F=BL%p zv9(^(B+u+SJ+`WoGA<|%sNcs;4{h5wP<-rqI{|{!^!5+!L0`4^$1lvp*E{5j?G1N- zXitca<9EL(55&Lg{c-5&eVw1o>e*2DjZ0iZ@i+O2frp#Cok}3~mUm_}pp^5~CuT

WeDbYF|y*t7dBac1mF z#2(UI)2V;NZwkj|_^$Y@F|oN}_pixeuEJG?22|Mcw(BAEqW}0SqyZ0<43tLL)5gqL zfd3}x^B)&N%Kh-(FcE!rF-RkUc%R?(=kCtJ9oSlSHbJY=b%d(=2XAuQ${zTP zemZ%cl)9@Hw_E}nvC{r6V_W!ArSun-8KtzYGzWjXiVocSekHXp#0NjcpGdjJAH@LA zrk}p?h4iu1J$T+z>OQP~zopU>UovivjU6HJi-!OGQ2d5WtGBPRp%3qDGV2n^Ca zjDRXdn?rn&$b>*_w9B9ZSD)n^a_9RT(Cdm$BKHUy5ICmW%8}#NaZi!xl|m}DEl-15 z9k(bi#IhVWWRQfy7a8&nnSWkXEZx8xUYr24N8WYE4!P&2x%_ke9NMjsYO)#x*Mp#p z!TLZ>wG>VWJGpO1VCEAu1)Y|vfo_Y?qjF|Vs0b9YGr~k5%)Jrd3C*PFPmY6Wkz>+P zFH8s6f`qSP`%{uSO92>+@uJl>EdZ5s2qB<`n4xUJTWNy=sCJOD2+Aa3Y^}FaENi_m z!B!*6tN@zdkQ<9YiePEI)o~@-xxWa_Z_La)rR{=fT_nQA_ z=VpSrePt)_Iah%P-(I}u9AEfO{~1H;lFq!peN5dcmH7|Rbw2*j{OR`((Pe!--Z13< zYL?VJc6cIuv)|}b>k^}7gWp^1B8kzL&-cX>51ug&8Oo}nzBvd#{9RonzlG)HwFByq z|0d;k%s1)li?sTY)bmZGOJ2jE`!0h3+^gKd(CU1ye1CPzLH9(w9;DR~v*Lrx!KF;O zB72GZ>dIYF{{#y-btMgF1zFc2QJS9KT=A;fGc6Xi zyvDC*GLVLZ)}rbWqoUR~tF9j#qlF{t(6soD6p9WR;!Q~^JYmq+l!O89fH(Ko6@E># z-*miC=WA^qsUEyXFZ^9J`b(ohC~6r{hCn%;Xns{1ng-ILQunv~kWs7eRK78qIis(s z?L|icW*%MbXe`PXpiXc7@M4z?^#r)8?CZHaZ?8Z$%@-tiU{~_Aefp1KLl*aVU1%0 z4y!rH0Ih&9pl)H9Se|IO3udK&RuV=ts03KmcwTG~15DCk+GWfI-ujAX;dvQ9g(&oFT%Bhr9vtfVGd#Kd8_@psBn0DrBRJIaSCdQ1br(CN|L<&m0|gfh$hK`? z>uk>N(Ozitd$f1$xoCcm+J}z*+a5tl6ZYtLD(uJ~KG>~2D&mHq%mv%Qhk-K*2<7q{ z`2db5mM5WMuav4o>ee93BS^S>&Ifs8+)&M{ZpyF_6mbksn9Hnm1-Yp zP@l-D1G@KWIW#9*8_3}4#c3(FqOA{(u)gHNkZ^Bv!@>G5guj$dd$y@Z=8Ni@Xh_Zc z_qrwh8F8lj$`1LGFxK4U%Be#otx^N7REO^CkRtFBuvorbe+!yXrT*)D-bnOWbp>9o z6SaHF*y3qa`(V7hj|{G>TH%?{tu4&|0uQb)YRWGr2l)=z+(To>lwYjW{}dD$P28V~ z)qi~lbOabE^PGtMvs+)z|9GnW`?vm6>x7PUs}ueE_kMQw$8F-ty5=9C59CI?tGh~2 z2JHQ(Wllbe+uKf{da;?-1p!ebJ(KR97V&R*WiG>hL>K90;Sztr{<2}9AFFQ&=uY7o z{acY8UZr=x+ItwJQB^X?X2!d|1bCpZ5nzzdHR_Pb@Y z(rSt;>$px)>??}NxumzNNQlp)`hNeQ5TH{T)17MPh-E;i3+QczJ-aY0u_#fgmR!~ywh=hV8DmO2Hv-17VxQv5X_K)hjo8mL?H>I#JwCEn z(1D7C0lGOpvN`U%$=3PKT+rXquHrK)Ij$NpeiJYAu}fo<3wF{jbi=>by?r`;5mCpA z8pw1^$8X!I7$tXp>#_k3er}V~)T0=t5=$+k2AC$Sa+W0YU;|I|SQMgZitP?R;(**` z!ct8Ye$S*Kwp~3XN}@?uJO_O<2=F^^v-&y@F~{@5G{fvR9|j91O=v*i6s%2v^AV%;;?+9+~ul;xjVY$k?sZc3%4S|+P!n)NNc za77H_h2+U;nG8rDWP3l=KILj-)$;B3V#^OT$mUhE4QghuTAv$yT|D#PjPV{ltDWw( z{LnLi`p?WP7@2jFqZPU6zvz$hC5I1uQ`r(4=4tGQF*1qKj=Ga6s#EYq4-M~$F?!YX`W2zTfTb!A9VRzu2X6y z%lgM5rBWP{lqmdB=~Q0=YZK-|9*7KnB#TF|8TcZEzqqMzjUHd`3JY~ue@}8%|L9U@$C`Y z7y9ibEpq?OIOkU1;}*IPdC#)nK4P!sV)KT#E868fZLsfP_4mAXV!z}#N8ayLIm)tHroKz5_$+~?+ahjbL9HoFq!VOY`svm+UfGXO_ zo8F1lQFYh|i$OXX7h660(8Udm-O2;dqcNPs6y=rq=(48q5PLwxHcdtdFie{jGt5)k z1=#`z<)mU*^JFBfL;0xC>M`qyIZ@)7`EbY>c1wm5?$DkR$+Tp%Y5+h=-Ca7r3CMTd z=6>rq&v>Q-&mRU9G-|S2JX3tDFeh+cm!ukSo0)W4y`xBGu+Ktb)YRWD_9swyRW^7i zj1?u?SmWeAg>DMhwQ@RtS+I}-F?oe*j!cgd&VLx^cdGX`xta0_*q z>4jNBM3|K6&aA*Ze^H;;SQ14NhLNNXXbEZf)H>F1J;ocmvDMQ~+TN%USW4u*@+4)P z7LiEQZzXzqRMx^4jR|i8t`6^Ex5D=siD6V7h>QIe1)xQokpb|g{zWt{U?vS+S-~kB z_9<(Fe+l$Q*fU9zz`v0xA|*1LS6&NJ+5$kJ-y-x=<;RK+5F>*IB>z-YtT)%2!zp4L z!EBhQ)Qpp1-8yzzG50@2MK04q8Kl(!I%&ojhDoSku%HGvM453Z*d+B}OM0u{*-8Wy zhCmr&^YGRPvOxL@IDG6h;NGd51N1}x7m=x zoCcT@j!ODARU7AfWy>%|K)#iXYvk@X8Lr==w@o+^?$JyT<4VCjp1=^#s`n7fF3ARr z888yHGGQv{thwLQEHIL!j`KYrVINafCT`-wGB}aK{cnUqnYY`@G6AVD$Fr_NQ*l-W zz!kMo+|OxLl-uRB8Gkps!_?1Nz_pdxB{MZFc4o}}O`<5=!~h@Aotptz=Z1kDT_cOC*95c5H_=BTYhoo2i_H;v+6VRrW}zQTB9HC* z7MZ<%vw;VXz8#417p!w3_RpKU?XI5)w+jWMz)!=Q#mn&xRILP4rHu{7JnFA0~(q*gqlK0TUiu9l7#lq`I&2PRw?vGn|7k*G|lzV^cQkICaGPA*PCmMn^k@%GQ zQ?6Ml;(DeF4*-0a0J??(JS7_lhm)7^1Z!VcMQ|4bK@4DXj z0mw<$MB^>}lCtz5J>$5fWYIwG&4uqKSKHk$)BgBUVyWU4BYNS{rQoL+HWAHb$?LV6D z@6YZl0eN;KIh;H^Z#>5LuZ#0zk4xA6@BK(VfHwzU&h>w)T;CcR;(jK4x)=D7FUxO< zzo)^b`k>gq%5U@C5OV|I6*&Op*}RwATGKw|zED-iklv-&u=-Yfm5>L|^mk@1 z+SdZoi-W(k?|7gon=+{K*puZaUDK{o{0;GkYUBQIzFjW9vC79A)gu4j@Q;6db*c*( zmm~K@cbx3KK?-+tmz_l4!<~WW=Ed)Yo1=Gz^`Df(Yq^M9i3GcpI=S7+C=j_;GlWqW6yL;B6L z<+HueHpbnD=-N;Cri<%xjNHe5Ct7ao=xBPNGubh?LoMQpj-LIxm-BR?YsN(Jj)#g~ zKO)e*f#k7Hy(@WW_t#l&N3^~}&o;Fl-19y8Yc9A2)jyZ}dXzM}i}bUHdLK#v*z}|4 zvIj{!wO>;3ddjFi(V?cEnC^#@oqF<1f|}?JHTFaDB!)GKK8XP}L9M!SAETQO@dIgFY|4llmde$(Tw8+-ppy~(QR=Fy?L=R*7oFZEw*36j^y zJC5i)GUCsLeiz5D(#lbLD1Mk${-jBIyRl29+2qR$Zz*5d$-_tA{}C-&VvqjA5c-FD zntiE%vHh`R|4W&U(&QLu>-meqMJQ?L4O0K8Q7wJ7weAD?2i$DnqW9Anq{KYVjxHYV zJwU!CznXhXJj8{FHUy!!SMh1%%-9J@JpyUFjRn{HW_W8D3f4O$=CHsOn#O@tWc3~N z0s*`EynFH;(U$-u^*C_M^NQvdv(;0V@FX5QeeC}%kWUz*Ckb&NP)-CieM0`hXQDt7 zz9SUZJ&4^{z96bWGFnO92i?sh^xMhD+^ms)$i7_wT6g+)!KzGVQn&{Ru}ya^IA9hNQMtQZIVi+kzEIBTu2kN%s`tS^QtIhRQl-~;QzeP|a<7OT*>K8HLr zi*6G>ER5qS`6Rfxr*?Aa(QSVgT^;3_x`g6vR-9%q6Q5u~#O)kIMn-H^jLaKA_qMnX zqE)0JTKj7M;7Jkp-!zO&4!xGWW{8*AHE(zIi4(O&_A1RkExxqw9XLXJ`Acuyq>}}I zUMU$X1*=}|E&XTN>mhdN=;9(=NUQt$zYo{d$I6HWPzf5-n;aX8$4FTv#UF%u)Az@`&ND4XWK^ zM#)MxHF#oCs`3tar2R(k(BK)z=LHwF8}Azf{Z&%&+wV^sRngb@;QM2PN2P(1`?S(% z;TiRF_QDGxW8fA01?}|w8TA^whN1(H4ivW0AwA$wtJG?}Q_%I(Dw==s$G3r^oFU4% zEF+drn@2T~<-sPBI5CLf7g00FSlH;M5^i2bq6#iC)FkOWW)(3WO)*POtAutb%r1qu z@jCR(Jg^JyS!pwPOv3UZZ2~P>o(-$C>Dnm?06$nC{F{p;XKmy1c99-UHy+^CakgMm zff=z3^EBQZv)HDT2|%EuKqEH)f&IEm0fK;&=YUsY1C(2Y%ZD*)2)rR|6#fPl)0^Ve z|17VbK3>||7jmzMT=#41DhK3J?rHXn+R3eZI*Wer-}1hZoki`?u6y>qxaY|+`$hfF z9)7#`^QV`3o@qS_4AsZf5C4$dTpIuI7DsiBg(uH(>m*S4v&DRyEV}xiw?m$Lu^qOv ztp8aQw)4PJ-!tle-j3_u_|UEGENScKv#>wG7Ht4BoWBbp(0>OxZxH_6Je%2<{BHKy zD1&PVEN3ozsR#@r~cbd z?QfI4?f;kKFW4KGJOpM)aAU$SKk;2qw&4$T(A7-IJ=3KhOvaXdV(D*n{fwc&T`W4S zN_~1%wf59f=CDEEvmPGIu*wBP6cX&==}D>Z!hYZ8mJzrwzc8j}6NlCPVsqh;z7=#p zL+>2`eO!FerCu#qjSsqvwLtUX42`dKN+0N%JU}0V7Gg2C6&m5twYX{;=veJx6nr$&&lmf`nD zd#M@qdN1LSF;U%^R8`4u&VW>`*ZTZorBS8t zig(jIuA`$yKR?O0H|ySzQLoerwlj+q_=_Wk&eR8$k3^#1Q8NfvmM95nbzS~-4GV`2 zRn~iyc~S#G%ci4HL^9XPHPbaYl#xh~q^(j|ZLKTer@LbpsG%+D#fMZhDY}z`6;khp z?*c603(^yze&qTqG!p+(Sd3%`@uMfX!S>kplCdiZk{%O8*~#luVgrfdjXRV9}5o`A!4VovVcsrHwDMPPSYv4FtmhOLjSgzf&Gu`XvVeOk}=;3AOf+mk*VKedn zroGc%+afWavjK_aim3jLdHw|mVUxh%F)0sdz6@`r5H+|TW1 zGl->+$PGbvvbX$EAA3dStR0lE;#;tP3^%51mY6kCD{*Pm!Aka-$%Sdey!$eC>+~mb zka{~cep;Zun@fjuWf!N5dU0^`W!lW@{Bg4zB$5>pKWrEb(_`(vLS7KzkYlNqF6=Vu zHuYMy1*n|~QC=wiT4z5Z*CfecS9I_t>#<6`ij^5>b)l|X_m1-aC zRYTc6=}Q)38O?(MS4mPb>T=WWcKIIqFt=@StG!l?biZ1{f-{|o|JM-o9qov=sn^=*lK_wM=u*dhMC`>I ziz$TLjPRnIzC&%N^>^xetM1e>AXJ6saw%i(1*RtxDm4B;ZN zfZ*n=QnOPc3ALLWl+J+95rNfyfx-vmUUpWL%smz)m1G4ZGR1~!vVE<|8Yf`j>~bzE zcllZ^kZVVx7HJ#-nU>UWJG3XvLOOuC7;BXnjyM(_HZ`HwEy||^Yrk3VH!}lTi^p=$ zMuC7`pIMac+9Eit8#2%o#dJ!L8hCwNXp_`AIbx90#}I**MVEMYR*ipw{jLr}0{eFs<|_*a1b6>on6avUSFq zWkqMh8ZTP7xZGpb?bbOOhG~%}C31Be>!@T617KN#1M2W7JMGnF6U5Q<2f=szwnhQh z@GwrtEzAKK67YaGzj8AeIHh^PPiYRUaknK3`4T<`IKP=sQnCtDBH}EJg$ihu<(5P zM$s77ouWmS2_3FS;B1C~3PY~c{{(u;Gu zf4nJe!7$+!LKwkJL{Cdlb{K|tu@huW;LKqb1_hXbuAZSe$vI=r5I+*{uz?URsW4&q zOx%0&7|*Iq*aRIFIb>RZQapAB>zKhT8WZt|ljVp8?`Z|Y3!4Q2;TLdcx1|Qwl@@Id zSj$hb82oiij5C0D6&y7Iq|Y%FPMFg=oMO(~tuTWEzB#ah=kyv$h=w3c46>$t#IQnT z0CMQ^D^ZPU9yFo28i-R3j&IruLpoEiQ5K_ssS{@b2Y|6cb&(MnEGn;?(jhV~hfN*} zrr^J$1Bn`taeaO5x-By50t$DUr)K6`e4DJe6%zvwph?ORWf!uw@VoNI>Nck-ZICfZ z8P`FuuQJ2^ZMcmC^_&%qp8V#cY{t^9gG+*Kp)^wW z?nqVpo_%}g%j(}1{cIu@`4u=YXG*D~S$VRCsbdz%~UJ*uf@KWGYgQJnml?yhKW@f$q;9yeYK2 zqhDwa5%h%V2rlg8 zQnJfh7J65{=c<@Z-W|O+<+zsE+y5kKRBMhr896|on%1v&^v+&8?OFEevzmRXBj_>CZY*XhO9RCU2bWN7d&W6yeKwyrNO4jnkOZ7Ufv4z+e| zLz70edMLD&xNaJJRb9k$P3`1INx^h+6WjG(t$LShRhP@-C0Cx`_WoIYHstx9aoY1b zrhRHf>WPE7L&j9fhxe;Z_^#pu_AR9EXy4SHx5>edn=F%2u8ad=&0HQ8fGkic^&HKQ(|fJbhJszp`Q=D)&JEf`M|yP$_Y@f^A}m;3~Q>=9Ib_q^8no1 z@f!;}b6faE_p9$$yU&y8cd3263 zJTs!a*wa5F?&)moZ&Uy6H_aDnYHpHx$Gh21V_^L7o%~@j&h4RZ?5O#nc=)jovya?2 z_ISZ)aWDHVbSLCq@%jGmKK%vZN8 z^)(yL?}a+C6ZyH>1cJSlLsRoSHZY!$z zhp9KkyZtMMn~$rr-$V8%hk~s0HA z_=dH~D4R28Eo-9V%Vn_{v+UQxdByOb9oz7@RMd!#xK5y-S$5(%kJLS<>qqz#hY)=x zZDxMWypS&yO-*a3tGh}ekSoDvj6C_SP`Gym&I{gC)Xu-AM^JTGazrj*C%RJb=6U%9=<30LK^Uf-aW zU+!=x z@Q1{~0$15=)dY=kH!pQ8*u}Rz$4SCE>7+mcDq96rsI*EvQLox~VnXybV(EhUFaJnw zqBrZUR<~!P78}iBOfz0q9V7x|)oUky=#+03~c4@7x z8|5doUbTKm9T?xGeYG^_Hft|i@ax?3L83n6_czjW%E#ONgKAxiRneYZbRZPlivjD? z2STIIp0-a>%kM+08`^yH^ceoEY<(PF5!yaP&?mnDHgm(Y#u_#xi4&VO$xFOHqts!PAZ-yy82CX)p)bwc5J ze{dC3&X?{i{~!D)k69=F`TQn{En>pb=3qPHpE$fZ*ph?gOJyurYBgaA6nwQ_9Xs2P zT>-7Tq@GswJ2OU)IBk^(7h|ufty0uW>3UX4t5DxcQ}r|(R9V`ENM0%;&6H4CWX(`f zr7;_T_4WfHi;@FoHIJY(Lk+0-EDp|_#$z$6tX3(D^}x*vsDrA--V)NX5bD_=PHF)- zXK$#ciEr)bhd=wbK6hjk{;np%?}JlGVf2S`-}$KB9V0{m-V4*qS`%-Pc>Mv!ivJ zwVR8R(YoQA5FMM2*8M4>bvKe|-Hv3m?t@qb=<_66x73c-eSI-n_w|Kn-FK($Xx*E$ zkH2k4>$bQ2E24B85Uu;bzI3#11EO_HC%*o*5XMeR?P%S%5v^NK!jET2{BSB-H;?&= z9j;rHn~c}RnFQ>LPmyR}gb#;F@b5z<|AApnI}Ujv>bLkK?JOzhGnl^q%1)Mwo+^`X#k6-|zj;vv-*bA9{$x_SQpV@`}1bQaq z5bzx>IY$t$LQcuMB$;vGVFXf{JWRW*Mm@fA6&C)bMUSXG?4r1UIKlQ!s(yEOyCI_) zJ0tnW(Pt3QKle^ql3wDXo99?p=`fZi}w&I<1OW4^1_x;vu8at7Rz&UegWAT*D*=_25+$vyY#7FQ;x?DH_Y3TO|?}=lQ9QFA0aI^A^XR~!cRgL{( zv*>-ejJ8~jhUbtc-!&pvxTcMh<@i>=u)9im0k?vg3_PV!0z7D>QHC7tM^3)D!>I?W0zWnM;5 zB~FqRnG($TH{bRthPxQwCi@J8?3H527eRzlyP@rr@M&yCZ`rg24r;Tfg(wUkVWb+fwT<*oW!xuM!n8RZ%ITI|3o8d39bF|LRCeJvujvf>Vl zJz==4Qu?a?Gud+T%{sLW-=zyEw;Uiu#@eEd?6hP(^|x2xdw8*2%XOP|6Iez{YBjbp zch(y9o?gaKczqSyB;_E$4ePBN`*RA-0=hY_ucl-a6Ew$XGMvK<(Hn-|3wUup9+chdWsZlZS77N=ThGjPE znkJ=xXp+ilQzbLLUn`Ci4MRACicX$qTI zjVn|{Dx9?V? z)VzO9!Emlp7S`t~d3^0%JZkE_Wxi^u+Y=YM4`&ZG=5=S88|41on(Wn1nc+dRw|+;+ z^(3#ZTRA2xYun%9wI2^PjYP)rPq&=^1X1)MzrU6{;ZRoHfr1!&>2xrA7IZnlU@clX|Ol^6_SC zvVJdTzSW{P@6@d8lpg;_6G~q8W_`MSUwGyH{n4wtA2kb&+j9D!mR8gk%uE*y$v^H^ z8pHM9idH;p=AOxJ)e5>=Ci2au_1W9C?9uQ><-508Tnw&V-^<%|{=2l%d}aBa0~bGA zad1jnqh@2}14WTcJs^g{f?Qr&5#_t2v2i`(`ElL5rGj`|a*Y&6o;G9SW0h;QCP@#3 zmB!u7NiylcSCm$9QDYKeJOx7)o49UsQmQj~S3qUWZvBdwtMAIkjL}@9LLcOq*f=&m zep0=03|p)hY-d6%tm4k`o>~PP(yGJNT#Tpt-)ybx*S*v|4OheCMRcK$!Ne6tLgXxC zOw=f9e+7nw_e+s0WBA5i@ahIO1d<~jpV^^jnI64iJY*)gQrMD&W|4?bJ?M@51P{LJ z*BCA0Zqs~McaLji35Y-Qd3DW)EnUnFe~9uSg%%Yo(EwwG$@^+1;1(!2KO*h+>8d#+ zQJOCKT$L(Ug5ln+BD{8v)3}6$;7*OpVpP_{1zZuV73vDO<6CvCvdS=7gV=JO*(XCe zwTdCu;sO>e9%g6QMiR66!(Uvn)o5fjH%~{8V7dA|j3S@XJYlR3PeoWapAdB)&kLfL zGN{7Z|K)RS5=+KAU=gs$^ET8{c1Xwg-H3#hIfSc1+$n9Sg2$MKw|PpE7)KRuHu8#1XjPIbSYi5Pud8^BkBzZjFhh0kz%rL93MF%_UhU&{^d9kCRd6cKj z>b`BozVUFm$nBfLx8hU96O0kj$3&kvI<`@JEi5$GkP#5GRGKqhlh#O$b#sa-GmT#~ zI|E0#&ghqG3TkQ#It^1-vR|SNu@o&hH#f8g41ziSVZAMzl0V`COzaC5L^EcpwgB%d`kq zY_J_^4`aZM!q;@TQXf8i5!jNaBjsIe#l^5F;J!YFGJO9N3#iG&Uy zV`+;bL+#Dq?(!tI1-x1Bp7O~Y^qsaqj^+;Hzf@NI6RGbx&BFmVU)m`Y|}+) zhFajJFd?B@)c)z$-4;sDa&P*p>_2;tF#1WXU&H-!TCOPXHIDFHW)?lI-F5H9qS+0Udn7xcda&y^27A3ROPk}(BlLtwM?4W}H#$F< zM#Z06BDf;19h~>?9`|PHvIpzUV`*!_r@JKlDOsXNrXt!9D-p-lH213&VUY)%%qZ2i zMlCkp(6H8VU`T`$q(J5Vm`17;{W_+#KsGG4=tbe#J9&MY!)%Q4wt>lA-R&p+ zJk@aZ!MXKP+J64?_?R*xY9f&10^nf*?FNpWB9G-iL#7FSonqW3FFe+vsX=$76<-QN94l0243TRtc;-q-D0NYd z)=um%@1Y`-i~)IEr9|TfggC&>3>>O?pmnjo5+nTf*!TKYXu@M_x z2u9;!2GeN!w0AV{iISqyRgo%$Q$Hlgs#hD)Hp?j5T~LQOaJA$bYXpFMxe+VS!EjhV zd1|8yAy-y0RKPl`whB7v*kWn(;l_lpMa>rB1*@UrA%TcXv=#yFk=dMT@YCY3Ev8#y zpr4hk#T%eJm7I>+v7ZVRwVt&m(K1lQyo;(-i&^Nt0o~Spo(Kkp^TYy=W?4bR31X2m ze8%H@mFy_v%Hn2zeea)BOyQ(}1^pDr1B%C&I+Qks<(A3U3m({Xrmfi0yo$e745%D-5k-Sb&ng!GG-i1X z#T~)CDh!91h2?G{U^o?l0TA{}Ixxb)M8;)?qnhLb^Gc1%3injFvFbIwR?c=b>Y_L}kZN z#~xtrb3fB}a@su77qTYw;U39l)SIq#XblBm-H7k8F^1LHF2=+o+!(Eey9M_~)yEia zsvc{LO$=_DDmWG&)qGC};THPh)_r^Obd zp;q~h)(}_Bg=rGOh@`8@JM zQ()J|1c?;?0VzIVP9~&5Q=&_+E~W2dvO2LZ3YOdOy~&PXMNxi8sh9-ZjpBYyOh`0& zFLst;e#Lm}!j3n2PQeZ#n)oa}4^kVC>rBvM+WV}ve9KxLMH*^d&=YTVHPS}1#N>+| z%u2gz(<)=ejY_k0+)BQ9y7fw1J^r_U5^s0^<)4G}$VbNH`WQaTJw!_g>Q0fBJDLP)X+DuB~$!($w?Lrx$}KNps^MRRGG5 z;wU2MIWqW{&c31Md+CeIq%MUDc8E=CZNQabNvQukvOLi*B~{WE(cl8aDnnZmk9IFB zQj0??)FCxqXE8_-0kQTjeb{o?N(C8x?rB}hw$ zizIjpcL^T>Cs6=^mjT+tH|36MoxANP^}NPSSQj`~exMD}!#|T2{-K7&6i-E+CjC(@Ei3M795huPi1n zcebm|Fr$!E8Zwq_L!6Q||Bi6E5*F_PDnhB83?Bw4+M)svz**GW8?Il>cDXa89IxZg zK@?Da3xuDDKTx%u^@Xe}mPK$uAz=g^vII90?LUeH_0s?ZZd}&0P0IFyZZ714$b<3_ z5n{zIad78sMJAX>!@o0k@nz60op2O8 zoD?S;sGF7*4q!yg-@?fW8Y!R94UVvbZjW#HLmHB$DZoaIEdef5+AtvwVS_83(V*Pi zv21@1brU5ZRCn0>EQl^(BLbIcZ11|UeJMogI}VfPkOX3bh&2av$=XsGw)|Tvd6@)& zO1@7{j`GlJ$Rfo-tpK5u6N&O)k`bs+JCFmfgBK*1qeM~??hyS2sDu^{c5HrmIlFr~ z(}rf4lt9?-f{DWHxCj!33DO)O{-P^X}z@gg}>MD{yiM zFyR0x$Myj;J@C7qPnW(l1>2V7tZh+J&+SeI%D3eYoJl>Petvyh`eI4SmN{ZX(jtc| zsFdx=KKeVGL4XH(9WJVMEZgV;Ko@5Dekt$yM^4lQR17F#X?r;~mGqPY;0 z3_ZgVQ)k~?tnqSZ0-aq-DzWeuiU&t<7k1Bn$H9DR`_1=WuCRrB=)T|}q*^R|;Q?v0 z@q}oxeWc5ne{#8z%p}#E0N5=B84QCb28m7=loNeVC#e-c1BymUe|LQWX?WRE1BE%9vjRq3lsQveKkNi#-3Ts*)~;?fbe zBI&?#{!8CjeCIM}Taf@5`HvD752CWR41;C_Mu)OccU3H7-2oDj&tw;8u{5}HU?Uj| zfuV93C+eOLmXls0@iu&bE)5!rL1APZ7|n&VJ)$13y?L?5%be}jADL~pEnS?AB~eyX z1qwK!d-2IMd6yPQpd+@)KBr$KxRU7!dlQYyh8`Y#Aa#DS0ws*vVvlBJM0n}{$2 zX;9{C&ZRGwq>@em;B#hyY>++#VFZ%SCy(E7-;yHIH;7%dB@Pr%(}<`8Q3$&Ua|#{x z((nE(oq0)$z=T{Rv$LZ`MyCjSNz3SzxaOMTB}Jrf5YPx&7SD@Mz*#Z?Zud?c^rWbF zKf9cl2*~V4!iLeI67a=Pk?r$99nv(wHXt`GH!@3r12-?}x!P?5X})ZE$><5s-}K)X zQMk-GNpM1P;uI#Wo&B24Stu*EX>qa$i=nLVxlB2Ww+MJd>}>d)dIpaIBTKfB6AR!J zyLXpsv~2)w(Xt_QQa)>8I1VCB&~_C0!i~#yii!J)12jvX7hxy5ODq5x6#}S>w<+p} zPcJt=L9`NYlT-R2Qb%AynJ6{G5G4Me<$QM_18fLznFg8g2J9vFGt)_#e{?x%ChA1E zN}zKP-3AK=9%bPLhx8?WU6n>+Ns0hv14e+vU+RSg#|d^S!-P`5Ye~JbG(lh^Fab7) z;HAh<2eEf2bY_%wt+(ZHjqy9kwq8_^FS!) zyieV=;j2q=Ox+=HwGf0-iN!}YXpltGWF(bm@d-6wcr=w>ngD3Yz6D@KJDuht5k8xy zXx1`3l)*#G4Df@5guP3=PvYl*7fIJCv%&an|5B(@cK{w*Y2v65yd4}SU}El&T`|zHh6@AHjNx!s|^?=39u6>z>zL;{@&#bfej&Ha1unonaTwyEa~TPXwiv>N~1GKe3$f-U;Nv0gDIpuo0T@KPI3{*NaxX} zhqJduCBD$ToWEz6NPx6~bpnH~8Sz-~%qC|V#;0EXuVEx5CZQ#%#e>vAEC!kGfG6Xm zWP*EnnMOV7$%CiRHyr5_3C;i%4m}(X$9?L>7ye?g)}?16ex&swzp1B59R^bfEu8Jg z<2U{$_0p0AtOX&G{6SXK^okHbs+w-%>jq%Q1dO{cK~{6k|+kTfaHJb08CI^XfEMEh5=06 zBd6Y4k^oR-#D|d0w$qC*fCsh)l0mZ!vdvF#Tzuy;=cLXiVRI1MZn~j&L}-9@I%DF% zaymhPf}q+iX$JG)wGbZ?5EAaD`9sbB$3VL9C8?yj1JyFjGU+W46JVPb=P=06myr(u zK)kwzQ>rH091y6IU2i9YsDYc8(JQq`UjNWgJ}M#}l<) zJf|Rp0NTA|Lc9#heYeVfC)#E?|C_kL3TPRQ7GPbe=VKK@%Q{Khe{FP*ZdqQR7g5p+ zxldZ>C27DoFZtI>PsPvs{R8p2_z?vEuSJCQ3W3fSn4P?Xb0@m(i!5&OXtQ+6#Cr89 z$dLdx$LV2G@r<62zm+=OXGs>>oev+Wk|P$EVsZao#M$ok<0pUCn&Q`D|DJp|He^p1 z_0sq3QQrW9g%=Oh>(vqD>^KNhcIs7n4=?T3$=^<$zo_d#6Z4?Sd|{(B950o+q_0c6 z{b8fK!&o7#LX zz;G}2x_yFwLR#s!(cCK)3LQ)l!yKopd51|j^>y2=a3D#l%S6!@xIB*hGmPZl!d0J5 z)-;;C`Q7}s_`5Lav|JwHU7>7EF zxa1#_D=hr08ZWKlOL+kTT-e38(Deu zHq(a=NrkFq)JVEymbDT}L4L`9{LutSBCrd2OChkk`C31AaWP8QOM8T9m?=HXWAiDV z&qvLvN~yQ2gZ4#AWTTuHfM--mIN8n_*|osVMv~x&m5utqPzN((v-z{JS$dYkd3aXu zFr*a%ORo?zxVfXmCTg0S9GKE(T^38=2C;$hvHyElSX7@y9Yo;Ow9= zh41&6LevJk11slr6gFRP*PnqUWcCue+N>m;OkvqZ7ja(8uq?yK;N>>3)8EeY#dl{}JDBV^OkSFi)WbJj22Btb2G8-9-TwFNgpDJzn&jqj*q>UR%EZ)WBR9)UNqhZI z@TJ2rN3gV5m;;}!8u-*Abv!X`Cmm92nvkZY>UkV;i^FDSn=P@1(Fe6mwqGcg`UPMr2xUk34m4nnvL1Zi?g3};)C==|iE)P=0-``Ng{iT^ zVyUDBT7b4z>aO;8ckw%=ZNPVrVD1sHbVCuU`LElEKFXB2_XvdsL31VkIdJ&xb-V1Vlo29^ z50+MUypv>Q9?{LDtvyb+*@%+wJ&09UfEe7Az(z^Rp8_+pVcoM_@6n-5@AeNru}gNk zKnrON_9#NLtQ2~S9SiA(f&+@Br7w+w5s zXipjVyOPg#>S|tc0xV5uGYj&IFRh(~UPO4YjhWYVh_vk%p<=sbTPOg2(19+nx1E6z zE;6w4QJjB|o?}Az`pi+{3pd+w!6|&bU@k{QIg`I|fU)+t1YDt|mgI#EBo0wZer<;c zu3nr+Bl@Tj>ymW0kVAH}a-}PKqRvh)VrV<6l$j~K=(yy#EW7!%fEnBa;I)FZpRl?M zIZJD$>}rXap}cq=91^n|Ux4sA?MxYAs-wc0dp)GaMSaPFy@ksMex_jps|jx4Awh1X zp6%^}5YuoG(ma%6Ftl*^@DIYfS31~X?0}cxULiVJcDvF> zV}mk-xJ6iP56<2qf@XKMRJs$2S>-R?jh|klRMV$;i0nB&!_K~wZFlUG_`$wLp&rD* zXOfg4xHah_utnT49U9qloIa*|`{1`PQm0Z9h;{Fcqn7n(H3z%rVDwI7;Wc9x+p44q zcIv#{Crc`phU&5)EzplkDz(wHodhowW7O(vqkTzcf|Yh=%_5H1ULr7sMrb%M9vznG zl{^d2B*R7Mzu9IN5jA+R#F<59T>AbO(8Vd)?1$2$DuC zN>l}yF7<+-oh-WY@H0s(f)riIjlu%rSU|PSBHffJKXKtXEWj~^g*^?l)%+}dgm)UC zA3p4K;6YPh2!UAAhQJTv?KT4)YEak8L6Zr4U=SOdq>*O3K|<+Hzdz1HRmeaBF$gVm zX*=T_Crhk4;PCZGXMu`Bh#^G|^1}}TJK=f_FN_)34d#9bvDb)zp-B?$mHG{IPiS<- z;{H5za7!%)UB59QKSPAx>vr}z2{TFatb?N&H9~B+z`ml-kVJ9^@eGK>+J<(aNkAjn zOEi)6IG;iTSqV29BO_qsEba95Uj5u74qifbY^lY^+?uUIixm?a#M-V`a%d!Q-(IFA)?9TtIl>i14&lCAsLV0a`#Z z**78sK){k*!|@u@e&de#EWCXZGo1Ae=D_1Po!QiTtEr zK?;VJmXk)vMlze&AhuBQ!V0BCmW=(xA7x6bq`deZ0Y-PXG{eKZ!wn&#>p*iu_3d`? zi)ccE@O3>=7H6$MGzX(3#I;-)1~OpR$#{hmM}v%Y9LPwHn^<0A;1`rLYzT`TvC{VVKs+w#(2EtCHvF|6R zWESw$i4n4e_i~6Xn4lzINi!GxEdSx0 zeX;0pk?sk6k|ovvXaSB1MuGAefa^5u z^TOFnumrxu$#f9Hp1aL)*;;8!EK>Ln;h9MK21ycOEQmBDy^PR{h7%ombZy75f*=n* zVq`B}VB*{XdrmgVjHH1jUI`@8ao9uk7`AkbT#_p<+ylG2nWPc0B)o+2Ar39ZF{NIE z`08E!Apa8YXu;<5Zm|nbu@k}rW3berZJ~E8j?voO+?~9Fc0yHBGK*o_UTn{h7l*;! z?H?6PnD)|9AqPxN4H+8*9Zj_eUtS-6C964_m#l+9N3 zHS-LZ*RT6)rP!FT0{`+DR&q+x!&OJ@@)u>7G}iDpi5u^%*Pf2Vu%120M+gjsv9GKp zBVbSP2WJn$d{2Gva*6KW;a0dm;B&2QN4^#WJOsH<&@E~(OejTan5~ ztCBgIiB7{T)bt5IhHYVvuoy0gD`9-biRgp>Uxvj^VG6c7iEA^}<|9xeSlXE8YjDAU zEgYgWPsvaw^fk`_zQ7h^UT~_359ahW1J@;^fYLl&;HtCI0;m)eI)!NV+hH=C;VXTUbHR}yEc=x^IErENh+%|K}0J91Y(52;gc9(B*S6J ze@L`p1kPbCbYOa*i}XU7Y`b|48`(w&WBV))TamEdw?homwt{q>qL+@q*`k~j-xS`k zi}{?s#8?>E@(#9<&>NmntwiUH3Se{4e5=T=6=YEt?NyY`SPsBvYn4=^K;uof^IX+b) zv%Mrf5Dy#~Z+^!?QOA8dX$z`dQYxB2PV2S(K?LS|?GGXx^S)H#SW@{eEiQSz03D_z z7eICbgj)dwK45m7gz7oR(0{nbHX@fVa}iL$x(KPWRD2CfYPADPGvi7VUpr*Gyq7H& zkuk|51*l^pAMx`vY9j!nWCy9K`H#0&@B}8x0W(Gr(wP(gc-^PZ zMq?Hlot)%vIt!5iG6jr41+soY>X`r6?Wy#VgbfrZj`x%B*>8}52NK9c0>CrIOCvYk zx}=EI4MGVr|BSdBM?4WCED`HrBj_X*b@P@A3@8Rb!H3k5 zxFMBXk|5Lqbog(xbMZM*Fv3eaJk?3I`PnxY-&uMl^a6PJ@8Bf?2pT|QmPjmsGth{l zDsIx37PENA1_~6iL398?BE9T#0No|!4Tzq_+)K|kTx3j{KuO5BaM+PLHbs*FI;x`Z zrHkFLF20*_wuy&41W+ei!A(*o`4$ohhb&Sr6=XEW8KouZmXtc6m40T!hlo575gn^1pL5LsnrHdosqofqOC2<-h>R<0q)wv`Au#%i5bW9x!ASG3n*!I*D z>gNTvpO(JiNF_ldCJz4{W)bAF1tlvks-Oi9=NDL3YnRQThkwE-8}8q&IgfX?_uYQFx#rNu1Xu}NmjJ*b59;(fRi01i*# zRuH^X_bKXyn+6hWJP4MG@1F)hb zVnT_1K(*X5Lv&DxCz71l=tG5MYs@U|WGRm^*LoPUT;cNYV{_O@iux(Ds{>PEUraC4Q0| zAzM-uxnY9^ycXbeh#ssn1Q~7$BoCN7B4??0tCnvt@cM#bK`LD>RE)UUgo1d%$t&uv z;$_=gz<`pL!kC2y1o4IBvHfjCx-RP9E;v~3xNj#HXlEPsq$}@u8R`LRMHUKiwgJ?u zH~;;m#iXwn$e1)SHn3^D2?0?S;XiC@`aVV7ynA_Pl+f|AFrqX&Kpm<_0xi>UG=DZb zeQ{}OIUtGW2W0`Q#Qr;heDTmSUrG@Bj)TCE1U6MCSzC+5RompHGm1>~F7t^vm`tiq zdi1H%VDZ6f;+bY@%I{uGzjA2`nnFlpOQPeMO*^!2q}Kwrq@O%|-FMO#m!=ka7)jt# z4{gC)P!jTSed+-<-}~M4#igmsLx#Jyi2=lySeOl;`QD{Pq^~az87yMbog#@$v3qIG zo?J?o;7lc{wE$r10eB0sAaUuS9ypYGKz*{|OX-VCQ=bAFkrdMZAt&M(IHYa<L4U13(h|oknK!fa4;4JDFNA#YwU`V6!zB zrI1dyQ*|8GD>KqU+%XS5k;SKqDjj0J&@RY6c9-e-Yt0&=vA2yy-RV|%WwrW_V5ye1Bg{N3u@j6W^eASy zBz9M4mMINNOm0}mRwTW=gdH}{1`b2|OulBT<)DG)R z)YB;hS~}S0c+NBP6RuqseL|-$HBV|=)c)P3=#_rH-mg;mD%Q0w?+AF9K#d}6Bh^O4 zUC9Mz#U@3-))_TyV4_VoE3lyOz89#nW6hkWu1&-e^<}OV{6;yUM5YzdceO4}Z@+>n z^KQl3zm^=Sck3L-a1ymikGQab6@s6l*u!mVWO$T+Pt>N~Dw{Uv_pJ$dCE)?FgN@Ww zZ{;gZORg9;d0!Q<>}WmVdl#sH;gTQcJQuTVJGXVG!?nzgrbe3U6s!C*F^cPApSNiZ%svORjMN(ysx>{ zm^y84={Z$>^S_Ed7nXOYv`Pi5fm4__idCC}PckOiEJeJ!4uddlrbh8tY=6Hl9 zELO7T)GW8p>x*JA4g^xLTdWt@50_xAex}Eb71SB*A*=Y@l#jVMxst5fJ%asMDXQ4h zXt+zf6jjH0C>a9h^YT7%knv#||Cor^i3_@x-47EK@dP?VzyzSM4m^x)vsVaUpI6HA zz0Po1h9}Z$XcEPrd5EcIbC0T%4V$oj`6Ehk4b!#?i!mz=)k@ivQmhPDAQJXX?!tsw zH`iDzHkH-Jn+o=^{#V#z>``^Sx|tWJmE7vkYJHWK`+?LdHOw@w{bIQ&BFyxt#O#*X zPei^@$@z+Sx~_xX_lVjaKk5G$WjfdYdZ^+)KG&EIRbX%6PBEagX{ON~*6y}8GjEmb zi+({UJQTYtEPp8$e1$zB?lfv{Zyda{xJv2eZx2TsyR@lB|5vd+F!re|dzV|Ldj$&o zmq%J%b+YZk;*o`okyT2-)E9V|JhEIqZ@@#C?lV1>eXrRK+LDw+Hu9jTm#RI*Ej6X* zB}FTn6xvo+V~3HuYufscGAw_%eWziZw!SBPVyaJ1xAtpCId{-iJs|2e+-AMObN^A{ zVpuEBSNWK6S{bd03UlIX;%c#ZvS6i!?K6Y=32REszw@<`T%)L~eQX{}bT>1u_Ut+f z66^`rA2tuE4_I1Ns!! zpkF%QVXjtpsNS{Rk#_MJ{cy29&fH-!QyPQC@MEqD4;S_yo4lfFT9c&$$a~e9&B_L* zzF~aSmm|zzi>P2&8#Xo$^=P{$!m(Pu)TlCZP0tI}|0-2V^_aO)H`+Pp#Q6Dx7JtV&NRWou)+W zBGsY(4$;pY3otv zl7_72YH1aJLuai{2T!tbVUrrWbtp7Ya#-bd4<2j1-?$+toomyZXtlSrOuFb-OBzqV zE*+|3qZ4%T8QD5FBPxx@m^*r!I|lD`J=m|G&|TlI*UFiM70kb2spa|(ez!OwZB#2d z-n_{&Ui{f7CF(W<-2{nyG)*#QMZVG*+Xq&v1Nqjb8E6C z(H)v>nwH84S(eBe-Z$Mb*=y1!Lz%;r8S(hp3E7k=v(dFh%k}J6rFO;=nS9T*tWnL} zG`4X%tGL^Pq8m}$Dw8GZuKBrga|K=O$yO9^ze^UfnVE1f+#pgd@AWHp2+bnrofnvB zY>uy}js>gar(3lKPoKzN$Fi|8F6XN2uWa^~nQEu36dcxK&vJDg;vTxHz#L@vi~FRA zTPzCet^!w9b)1veC9Y!&0B8H-w_FqMMuB&SbmC0AuhZf^C=5u;9@GbiE(w$}Vl zbda`~ktrsqa9667%tcJE5-~k5<<=+-VRZ|$KbNBrG3#wM$0TZ_G(pKyP0$t4v3-sP zOOO?2;oVqARk7PpyhDAiEVIAg8!?Ydp1M}VWSS!^rI*Ide&vd8NmD$L32ZOxZjzMu zzJ8_LuL|tL(6d&)Qf=ftlOt@U#wv7B6s}Y@F$y>#V`m0miQ;92>|H_&$Ff@)B`QzJ zq>U1c{uqrdX@rOw;<7lt(`2%=YB9?jaj8<4s!GEqBl|eo+b_95pqRwR%2y?4Nv5h`%OgwK$1(fZT*Umx#B1s#&(tdMgIZ-yo74LAPOVPdAU0{YjcGy@ z?f-j*I9#v$Yl3|DQ9*5!^?W|8=xh0y+w?2g?@xvEB2ZNQHQ1Td+l^hYa-=pn)}nJX zM;Er}J(JjMek~)Gxws&Ut-sUJ0Vq;mBg(|Ei34{ z#b{PW<$%b06tuZCzFEa7;OS>PF_(&@m~|DUMO1HztDiNhrNl5<02aNvY}ZCwCCxf< zp%7sFT0VTty{v={W*DlFbyv6on%ggWgb3rR)dJ$5n-=ZkJlInM8wRnIlI4{(DZ(&b zA!4~iHQ@HSBC>EsIMlG{n5%?H0#q+sWvRk--ju9hjM1&6)VMKSp>yy2dsT6y% zB(6=yF08W1^R7DltIUQM2q#2QO0XFZr*itwH5rTo7O+iD zLCB!|Q;enX5pTaIOAT|_-Hlqo>)D1o0QZXVMBva~vjqji_pO5X-$s+{c(i^eUYVfHBQ6_Ww%7VRy!f}GIFm9|URr3uPF zN`!xmuNzP<&+wFHV&0A6+5-~De9691W?v)c9c z21RzO#V&>gqCHUsoQkDqs8vQI2Lwi*!ym2|pBT!kbs)2+oMCeu~*KKID&x7uO zWKfknOPQ?!*40kwem5od%qWy%UO?KRWwmbo?9K^!Uv}R) zlitJKQn62}d&T;yDcm^y#*u1K{Ps|2s=Tr84~Di+?HktyxL>dA(mS~9v%@u~V>R+# zC3}`Rs!!J)s8N1YSbS8AD|-E)wf4H&0z^9=kow|Mb4Xexa|chO(B*q!ENm0VUg#;nG!T5W21Q*c~wzVO`Nsl7Z~ zFjX%VkBY_ZY{|9C6S8{fS6Wr9;HTYZ>j#a$Vhq*afAEdM4@564bGue?qqgBMD$34Q z%*j6y?muz(H&#dfX6al^=1RY(+{SIpUD^Kn+#SME#h6v3xbb6gO}{0)IjbLGZxq+@ zT_vwF@sc%k@O-1dDD|f54RteDU2k^P8KFAqWzQZ%?sw;Wh3nEM*^(BCt!U%KyJ>MH z{Y=l8xXm~zj+$!?lT-VP&m60`dcPb>@Yn&5rQ4Xo8hM!f^!X=crLSDC(aMJtTCd0z zOlo`jIi~;Uj5j!|AD!7I-@?$?v3jGNCvv$b*c$ATbb=L4cTi-fL|K%dQ_MD{N!ZGl z92MPSrONwUFB#rI+@Kobai&u0J57glo$qPUdnKOD*=;dOi@w+3zsQVPlvr-zOVIg? z|I)dZT_ryy?$Ul8Izpe2E@(Zu_1RzNPJ}MV%A?|dcJ??D@5Bd!GwR;Drz$>d`B1)~ z2}Knje&IY{zoL1adOCYj{=)RS?WgNb(?f%+JMrZxeMbD%nh#rU=ks3~K3848QvQG0 z7v*y`8}F`LG4x{a!&`s0=4kMup*J6Gu5SA7c%Jf4QfyR^1_#3Ds>O}`BcbqpM{7O~ zGSAa$%fTuwyyE_ESubic+t(K7obNN6FG$6YoF9v-_>@B}y*}2tn$DwtA+>d^ZpovI zChq7cxt`8BAMaULH)qUQXE&aefBnqIM&G*N*~w=FV<338<+C4eJ1lm!f1TlemNREu z2yyqeusI*1t(9*!TaCK5oZ<`dVR@tWxnTJ#P5Je;N_oEA)KGkZVQ%8TtZq`iMBkHr zs9}?Ful11hO6Y&(*5v#&b~g5*{~UkcXTG@(8{4x*xgQtns|r@JcRz4*&)dSh+PtZ6 zwUo1~r1`O$q80B?hOK>KQMMc&z3*qYb@69Svr4{xhAYTEu76_S(cSVUrT>_Dz9hJ* zag6~GCXBvn@t>F-%z2%DBDz|7fVrFAYptHRZ#IWMF8scyx~cSeW6$trDf>j(g+3;D zKy5rTeAxWxrpcFb&x_k~YL)wrN6(nwV_I_Sv4`wt`b2bB-LMfHQp+DNKe%~tmwIe! zJ+n~@UYLqMcGCP=e`}flqpI-0#Ic!^xgT909(dtZjeA4xKlg$80Dq5hJ~sU1P*eNn zo}Z1Kmd~-BO{ME9PRpk!FLe4>S0tJ@Hom3ZH(P(rO;tq)=B#jdUFe}4?HaZyKGj&< z*-{^bA2;-lRc_tF+#_~E5I4uW>aovPJvTml<3lwqd-pPa`S*I?cbEt0+kPK2o@Q=0 zpD1`ydZqM;c*J_eS})e0e=Gj%da+4;EB1Tw525XIa!Y=%xcxj|(jAhv%WN&Tid|X% zl-Me%&FUO~T5f5J&hgu{y{cGVRTK*S^V8N5y5-=vE#y2G41UK#R(>FUfh(w(WzX~a zo`ai%*|!x8@aNc?6>7uF@ehL!t!b?-w~W`>4}>q?`J+&Dj4umu`ja)nBP~+od|2K? zGi$9|aae!esyo}z#be-MKs~>$uHeI9i)KA`THfhzwbn4;^6tKGF!#3*LyHbKLZg%E6=Bv9Y(Lcg+ipOgVj%H1NVFR-D;vd~CfnAZllfk7L?^bXuM> znBa-l3+?yji3z!gIU;)tFSLhO8dPJa$V)lKX!VUD-7346&hd_PJY|ZaE5{n;cLZUM zV0@^J@(Q+qr-!(LbF@@H%oWltmd@3;=z*5#Bg0(Tc~Q!;ZcrPCEg?AG|Ja9fpZ&5t zq8?&B*aTG`=47p8*s8NyvkPeTnBr;5?{77%;CW4pK1Uav)3gEeRknqWUbHM(&c86z zxLJN*uIBe(6!EsvsGI$)$p>DkyY`fM0uj6+J!%{hR$^rWLGebaVfb>qQl*UHu>E2w zH$kIUQSkEhb$4LjzG#aT1fZtOK626;6w9h5u8FVIhU<8@Rd?~b2gL{FKZuh4ZSesX z1k>7HENN+|$6k7yTs-9yqUCv5D&3J9NG5m+{%yQH^gIT&eA$tBc}a4Yp}(#m%`l$n#Q0u+Z&T%b{alUMBbAjm>G^;#HISyv-IX$Z^(O~rm3pCtMP+| z>uU6MqsJTQ6V{0dn5SUtb1&*GYOr<8zmsi!{Q7Shw`lLiZy%HH38CFzC!ME7LEKn+ zH!oD2?0l$XP%crJ6ISbl6zr1j#78TupIL1$2P5q1aSz02pPRskmXF*q5ih#+{ex$% z3A!k|<)B$xlzl!Z|5aN7ef(hO(=WAO2+C%2*L~;Y;CpJ<19u$UqW&muO7|!s6Fa+^ z^MJ~wZ)D{WdL!E;^j~OWHp_=QKMreq1~Q$JLJx4Pk&1PBT5oWYMFTqKd(p zrQuYwTSgNIiNSn^m7q;pFJqB&8d=k#4H5p zmRNuuq(^v~$#eR0^3|Mf8;kTPUO+{$Ej-Bk( zL0MGmNlb~3ps%fPInfaq5h$+Ay^se^Uckj|(RHIWhx4*@1oJv0*fAV$n$!>fqv?F> zg}j0Rv-P^o>esNNpLR2UP8IW*z1phKMzJXO#B&pOY#c9Ibz*Gd?v3M5J^51sXLH~w zod4#Y(8ad4lCi(ZyK^A=H%79c=)=Z&^+FM}YG$4PoH|~#;<)u&|4-FF>}>f?-v>Wc z&+lwmW1qhsTw{E2n>z5#6T-yjJ|6-E!ebBd|E|rfmNv@|0TLuO*5ok*<|lDxBY|k< zNt|tn^6m531kO*9{Q314!YJ;shf4lk(@MtHf2M1|1RQM5XR1kJR(+`WBLdHHwOX)? zDg6!b%75UQ-<%k`I7n~gPxqgz6-rq3q9j~D#OAh1YEb4Hht%NXjIdnaCY2B8 zvS(HwG1}X;za8^qeExT1Yp^T)@5YKNqeJ7PcbHrLL*Leq|ZL)0c`Nr+6`UByw@00Zhr7HsP`q4RIlDr2~GY?KpU85^N z4rSp+q(dUz0(2iR=0P=o=KE2h!z_05v7Ro|xK-cL=_=_QeY2Hsnz6nj4I33~xJ1t_ z9#g2b5}nsw=vg5i7;4`u(mBV>5W9lumAjc{P4cebLi?31MvwM_Ok=llleK;-x#cJ2 z*o^9%uB}vb!RYP?nvZcON@_)=?s(Xmlz+@^;$CZ&Z_(+xc@v)3uM(9yO%pvXOf+o)+%4)H%N=_lw!LyOmy9-yyjQr¬rXtaUSy%fQ4<pVk^4R7Yv(LwqjtwvSPWOPU*sND+0MxxkD4%>r)rGm zNuzVPv~x_C`{@hO?4gZ0da%W6`3WuS>uUn;{?VC!vvsn7y^S^t8)fy&IU@Eh?w=Ii(_H35U4w(dXRwno+kAu;+VTT$GSOSr zyr`UwG12-Vo?ij}-zMa@Z6Y z@U_kKeq|$F`rp+_?eS^ZRHd(BpeZoJJUgUh(;@Q~Zi}4d)(t(&s$z>d&+XU#wQf$Y z!RE#@1^E0^GKDCjSdQ^>r=F#GD_hH4LLJRqc%=HhnbmEoo}=xe+r->qHmh($Kcn)w z9_3ol#nM2U^&@udK1&Ygg;uXPIv{JTj;q zpzmxh43)Ozbcg6zb=|jaugV*xe=5su#kcX399`eS)jv{O^149h3@U^EmMS$y_q7?T zxb=sFv>ZIG^Kt7DdY8oS8MDfFZo8_d~J zRlA|PW%BwnZFEiSz3|UYn>(5RpR~7+YvVfCMMsLmP4YnDc0z0pMF%KHjlSZ>HLgjr58)%b3(m7{ERX}nRk z=oi=#DWxuyCT26*k>2BSeA9Q}uwE_$KqW}tW(JprD;J*%iUVCTWfhsN+>2Qm%hv- zrjtc+DzyM%V4B49Nm>kvy2hk9GZE2YcDJd`kRryZ7kh9NEuqqbdW2G47(5uje(Y=OZ-b!<@p z*8vCckm;`RHbBWV(P3Di`Y5gZ*t!CxUQNp+lm{zFbOkvO5JQxgK1FZ8EZi+rnA7|jc zG%gq>Y;3`OSllri(VsIpmKQaW;SMx*lQzkulfATA0#H!YEH5o2%Bh?@e)lD3oV%rBY}dA&*7gX zN&`4vD+odWfCkj2cU)%0X_l|(JT^P>|786#Yyc0fD$5e(=+!7i2H+TCY$-hLtz#0Xp1Qzsj4|<=3>~0 z9ExUyz6pM1FK4C!K&C9SV45;uQpgUG7&*YqxfpAH%;RC9F(ofK#Y35R9XW;|7*eu8 zka&zS)JzAps68qu@wuK@8p`fg39XrF3upGy!07>qojuM{ zR?}UIZW3Z9*abQ(Kt_4T#xwubF-eqd@?qMd8yuK5>Q-}!L4r;05yV;y(Ucp)L8(b7 zs7Y-x1GH^15Q`bQiPwN*1|Js^q0ezpBg}a@W`K%^X12;K%z2o>cEEy-5CRRa7ceLs zCf2JNVg_q?*c5xKrXEg;v0k1#PpIu6ucK1OgZwEO;B0uwc)k*piMH5G3^a>( zO67S6^e&4iEMef|d*S_}pcD$!s3WWJFt$kms(<`Hikb2Ob%c~K>>4?VzAN0%5>zG# z=}VWUcaXEps=}<&eZ;X_8=jXhx0L8T$x4XqRG+UJ=e}Om{Qd5g$w!IGoH`(vDZ@K( zHQ{koC8@<~t;!V9oW1cmR7VRwuF-Fc@Mw(J7`x0pgN*xfjtI1@W&ou7dBw_@x8eAX zCE8C{!*Bl(Bz(>k?aOFGf*c8Czaeddtv|qMU1+Txd|-+z%Vi zF+$C`nLeBLVd4g#pahq(<`KPq`ik15)n-e@jksFu&Z=KiYgX8E+@L$n?VNWtb2P7G zF{#P|pBrvW7%rxVQt8HaS?^SHlPLx#<*5*-bM*P8E+vq@z>Y4kiC^Ooms&;|J1TB* z8(b0AmE)GqiBs5h5^VD;)BMb!HnDE%#Qz;-iFcJ2a&(}HJ5KwwoSrUD40zB_LbUCyGVZF@TWdBBZM#NY#n@1{ak;i?GLGKChnUvm|DO zklU&ALX8R_d(z)-Lq^`PnSf;BG1Kc1E z>Q}Ed-R0aalm+Z^*j5%ut)r?UyYrw@ZvPrzH0S&f&py5peXDw=WTEegg!@LC@qc9h z$t3>0#D)cH&!XM{2u2V3o;sWtDv&;??hf6T7?*p?oL`w7PmT-Ehwog@_Q_>+whL;J zoNt($(Z`)nv^V4i>^W01LHzs7p!&Xa4gK!9FD9p+>tUQ9D36I9xO%=$S4SdD zN*pBZiF=gJ{2H2>8b0KEh<_YauWLcyw`)DAjso)r zz>&sL9sdh|@*1qMzt8~)`C89!1y8W#F8ZIBUP%QSZ7=?MXufKWn@fCgQAIZN1!IA;b-LJLHdY(RbD2T$g=b0aHZI$Q+w%p@OCx@nl z2j`_TdS~G2$KR`+SI=eAZ4JUtCO;9l_x8*P)|K>N@{gG&D2PjUozv!oe%D~KBx3un zGQ=|P-E}Tm_}fObMQ`aYd%Y^7wy-bh$v02VJw7jMvvfK!;l5Y<8vVjgmR5x(?u8pm zi?uBL)y1X*rv|l*Z!6Jv$S=$Xv~Ow5Og{N}#x{mu&kdj^dhEjMXYS4HpkMl8QQ9A- zkA5CrY<0CP>c?(m0P|_cZceJ5fjMEbM{3{(Gi#sW=jJ+J?8jzj=xBwuV6Wvh+jV?3 zbZzppw~}1D^NHwJ-BYN%ty8tns-H7$@;^?NYh`suOPcvig?PE=YRd*Eepi3J?*=c_ zi{DM2B;uZLH-UZFvjzu*GaO;yY18pIpfll71Lmyi>GFmt`{Tj=e; zc+&X?v>5ouW5@QOOlo?n9Cx%jKa?*6W9Yd4>qv%8ez4#n$x~Amp~#t&b0BlOHghid zD`q*fCU*1tSG7$U)lRkYcyuaxs;BeiRen}I)w6@ILtkYtjPwUuz_Us^qzS46i+L4>UdS@hM0)&<=a7`YXXAeGck}FVdYgi z>-XkGp3>go;d0F}OBA1{&-b zUg4~;qU0cy&rDefqj@mFvb7gud1wtEVlIPI$&N2427q!%Cwd8EQ`Xu~@s=e9^P?&I zypZh)E-{@eUw!*=zT7h^{U9fh_~p~Up}xB0zH-F`&Ao)vjRceNw6df^mD5)cJ3uaaITQ>(pTpRiY(c> z`CYqy-jG}6*Bj~dL@rWQ5jqsOu0;+Mg}y($mRsXO^wA}@mabqn19JSfqR3X#MgD0O zP-uWFf019)gplv2B&bc(BU*5P$urM0!3goKF}b(fnKo$#K*NsSQ9odYQJ6K-o$~ro z`#a?7&~EW$57vYev&=PhEjlxLUWTFJ zMZLGqxdRo6&P|3Zq}6@<2ZTQDfF~eR3cut>(i&oF=wCCr>2~+4i`` zm`;4i_BjszptqLZ^{eSmyAgt4*^|by);kz^6GJbJXcdenU|-c%XlNp4Ue0B;o_rj+TyRS`Ynh5BQ~#WD=aj;FlPWBoOSBB|;=) z72PAMwBnW-#bgb`5(H#moUCN%P`7bhrJ0!*C_gfmBFRWgUZxN$$b!kdfCaF27-A-b zL2ZWw1F|z;?h0ir#AgoRE!zOukS%_X=w(a_>HNDdgEa}pC@*m_lS%=z0Z>)y9X+FN z6XIrv(Gf-9eYO}2A?jw4DSQdGDB40%4&Z`27-J#CRso}~@P(##F>x?y;U;iDuo#u| z|Lw#-wR}3jl|A@V&z+kCT*bm>o3#ON3XMezKVzzWo#;FG?~?~_rgO>1eVxa?6PQyW z)&FYGocgajSKtqpKnzE|J-P=)MdrcdU$TE~$0QFYbAN2tGK!xVQ2?$k|_`FWgye^e5E| z|NTS%o5^op{pN@Mxzm5mey05XI3`f76S|CrgeFVOY)l2>Bw3ZQzO0H;He9)gR#7nh2Ue&Bg$DhPis|8^0AO! zlhI2;I$T>~*h>C}za84HaKMVCa6o&)!NmwHt^T*e0i@RgEDqdZi>a`tp!h z<9nvGDPNzEs|qXiC6cqBPP6GQHTf=|wEcm${ec{n+WM3V6oNapj~t`b3f}h`~HX}pNHMsEp8{K zZceRa(~~<`?!oEdXbXDWjXA=krzl3WyO8y zqwW7$A@FJ#B#vc7_D+-A98_(FfZ$Q9b#D>3D4j5A)yS4vU?g5U*iY7bxHi67r{tjt zDJS!#A*r-ivMkE}9|(#y%GqYvI!|0%??c-JuBA7$*H{PZ7NLYUbNhga%Lq6u@K3Qc zEz?s8K?VFEA`$H{Ut-kdtiz}}Hr_3x>}}FgmHpD)eKxer(#`m+{tcduvfm^J)3D0F zq6sgkEgUvDbg`fH;VmV4TI(jmI@-%ySi}i}>A6N3IQTXb<)5Oxgk8`p1X*0ko9!m= ztOOoQLuDUZd5KQ)2lMv6B|`E9CFpdoFQYu^!vLnzEy@d;CBg?nWSG~D=kIybM&_n*5QWsY--v; z=WnlIGs1ECrx;w+hs9LdDLoacYU*{Dn1|7!yR!DwE#i>AuKU>&S>n1Thpgi-DDf@M zDBXSo#CPPG+&SAU&nWIr6ld-#p6&-hMZ- z%%H@yT=ngmH78oMyElIRBgVN=R>D+~Uxi^AOd3>!?2}MNY;3R^u*_S#FA6`;pWJ}8 z6aGmFbFWn@x|-S`yEPXZIqhzl;)-&<#}psK{zeT0JBjUUm;a_)9(qJL#!aye!YbJ< z+NYUubY5K&o{sm>Jl%28lR+cmgtv)w+*uKs^`eobN1BMCC5cp$UtR8AdHI1z$ z3up|O7T2rfs8(v74E_wA6_smZdTM5(^2?pl2U2hHQlsc`YiZ8H8AKp^RvO78s)kMW zoT}h4^*ld0=J&T5DZGQLlnV1BRaH*|VO`UuWK>di2q|kC>Bn0_m}L;!215uVNtnWx zw5Lt!Ay0)pk>d>Awo_(f(>2O*R0`}PgdAp#pT1-iDHXYBiP1`L3_N4;*d$^pp+%2? z9As5B6yJ(@s+!Zh#)#@wnq-tv^DIT5;xc_+6X0q%CUB+z(L|b^?nRVdCs;rh)fAxB z+hgV!K)4oc4jN*NsidFbO{^qbQ4Jg*M3TlfcU{bms6j~-C3_35?!~3DrNKbq@h}^AwyrH_i+HOT0~CQ4NZh^DEmuWx8yg*!TV~$65hs8 zx}{f+(Z&)Z+7CKj^mP?3A_#3#1}vH3jAaWX)$-iQk5 zc9h;GTtS%T2B-5!Y1<^m5CW%fdismu5!WCOK5)_Tx;eUaomlFgLnQlq6G( z7?6x96D2n}P!5%#Njxm?NB0VJXb2`rK*;5K{xQR0p7P60+YAtzp&0BLfaKt>90M??Xh5QCLAT`9 z!sNY=@hYYgGVc=lOo)%!_)Qmi)i)U1fW3oxu#xj&UsyPfPqmMSy zUSv?*Oh7QjM8>36X(sw^JX1g+mGf9D6c|A@I1sI0m@WX=tzdeJd(}C%dVtrPJUH085saw>W$%h>CF3K3a?! z&tr;nhyb{n08%9T5{Fq}B(OjoP~5b!5aT00`J2BGsF)9nz>PI1I`sS{4S-WQ#`2uN z_0f)Aom$kuGV&P@_o(uDL5NKwi1un^ErLO=hLEw0WWgFVGNDwy0R6@|aDav|Wi=F} zstnK{Xrm^?jH#xPHUsKnC}$z7$JoWRoUXdYibjDdmW)EN1o=)(>qDlYCU6@7UTg&H zmGv^ln8{BJe6yk*QCC^R2<=T764v^BC7cuFr#AF~m%t~HIY6+*h0T{!DHVjZC?|0p)PA7q`+bjPoT9z>=3 z2Mq8>OZTJFWd-kcl}1WBQHE#4I_%0~W}02PL-RyCG8O8b+5-@$Gd(H4aK9df2d+@B8$Mg7Wuj z{<|^}zrLV9*vr-A_0k?!)uGIyfL`KC8qcMoEL$pHMm;jz8fkX?T{LV4*SWvBYP?Eu zn%j)Sd9iKj#3RO!0Z-WCTLv_NV2T8U9N-w^!paN* zUMioIX#)sqUKLr1=4gqIid>B``54CwKw3?jzv}X@N0Z24f1k+jhTPzg_8QdCl228QbYHzv^DKVE$iyhhZi^VGF(rW$rakX`YZo}T($(c z>m15=k2CGQhbLEn&ignn3)rs9H-tb1+Emon;Zys=*$CgT_i}z!m>;^4jdzAKgso54 z7kYS$*yReo>onirr*rd-sk&yK@AM;vKkx>F-PHo$@v28gpI0 zp$Wew{egG+!T3PiW{+As^RT?po*K~tZ>0MJn^N-FhD|skyysu>^#^9+od;KZXqG+W zdYCi?UQv#1_=Mu?3BW1;MfmYd!n#KuRgZmG91bWA9RI65=k&qJ1Ndko>)eeKgU9-j zKa(82@SHzVljsB58=!X3)$X}pXjx|h6}eu)x18P#1V{Go!1yV4lOdg+feRa~*17XD zEcy9qJW;iwtKZXFF_coi7P!Ie|1Esrx%GrP;T~k}q@Q;Wk@swK7jATGJ3_nY&soNI z)!r1C09i1b>hjo|Dqog>7VE`r?zs-@eRZk(WCn7;-LMIjo#De z+(mz194vVjnUsk@fS|o{+afgWxb~CA-`6p1z>RCrB9aX_sjqAA`3>0_al(`<)FC+)GO=nxZ48OLwEmaN*p9(%1)zkHX#1|^+46lp$)u8WL;Zrg2 z>ibX1hlFRu3scE;!PhXCKXx;1x}i>X!WaLGPbA^-z9l{RdCvBL3WUj;g~Yi2q?l$j zZ9jTjZD_r-%Tag8R8$#^E)3 zrO_ihFfv zb0|pW4RKRR7#0d85`8O*C=`Kj+9vf$FQd`?sSns&wu*o{4+#m})( z+V<`%g=E);s+Kd`L#Iw=X%ydEQ!>pJ&Rl5b2SO{|vK|P&F6f4d+~(d%L?3Nt?N^0? z))IXq+5^>Cy3yA+$^iN!tRip&d|#WF7aH$(Re+nO9C+LtSgICEe>b@ikQ$uph^rTm zo@1}l*Q%aveG}4+=p%RJ=yLA+bLbRpkEE3HJ3DuVp~@QX4(su?&><$2h_6NrM{lrz zZ+7V;Fy4Tm_kfV&z3=6}^0$xMTNdQ_%7I4a3U>&g%1ss_=IFm2LueQNH-u1pkJ!L* zhs2vnNRMw!LgDo>sUh0POlSl03cTyUA$EQX8OLN;&viscUOuU25BSaE#PG8L!^eGr zBN=`xU%?2u=8B!yG6TU4)U>s-BOtjyY2-Ta-gU$meOchd2eLksYUH zM|Vh&oWP5IRIo1uv`Uy=w-S!2hqMX2!|0;0hWNK}^CB;X4WguOXFkR`o5 z_L{KrPOh*o4{!}45EBh60k00gYn1^I+rBV(+Y4M&SkAzMVP{CNzP)Lzc;8bPbIb(h z_C7?z`i22k16Zwq^6?Zf0N^@UO;CcvNO{d4pQ`b6`o1#_)qm$FWS~G6-L#@k($6jH zYaEbGui{t7{|MyMtN8Iky758a@t1*wdZRD!`0)FmIO7xA?y6%?Pk$<3y2(17UT=dB z?ej$?zsUbPuugMD=;xRI%sTxaz&0)LVE<4^fpvNSQf=aUklqD6SXthmy{jlZkuT6r z=ZnxU-~-T3Ik;hgcKU5@`O-&_LPq{|{MgO(@tY}RNQK{TTrbd9KXH!yi3WS(fBuOE z``0IcwpyUUe&y~z(O`3x?*p55x%agH)#S0|1E)Lck`=}2?I)fO{T+JNFCedKcXqejJSThosULRhp8TadA8hlGOY`y)X||8BZ0X6J zuFps#Lgxg?lK_Pp`XMYT3J0tZ1ZZpcRA}!1&k+Lv*YPJYHt0}=BWBWJWqR}Yb;$y0 zZHcGl-qdsSJ@~F=>4+^gjr=`5yAPy?s~&NVD!=e#6=#$i!R@G)>F!KVqN8(YljW-u z52+1`r_I@2TDO!~?_mS$jpDIM_NCwD(=R+Zw28z@CR)@y#tcvGIb0I&CsE;mVZ>uy z`%%N~6H=&PZQ#`>fKMbM$npwZ)I! z0Q76J_&hAHr)gLJ)B=BLb;wt>r1sgbqpN6%iQ&PfH!+rsu}0x{2DXmpTf%r4v%Cjc zZT->$mtdk%BvqOU40fRLY3F#37?hXY&MyzkhSCj5XSHpDlronQwi?(C$CYjV4st)k zii3yU_uidN;9qh_WTCJ0NJ|~fl`(exs&I>cQM30%cdy9nGLLP>c!u%6N$_1wr_$0; zv)Zoi)@kWiYQEHYnZf56>9Xr-Kf6CL)*~?uJ2OqQ>I+*GrPL#h*tbRLBfG_|s_4(k znsgv=l}1-FT8NUDjdhKsYjj3yK+i|qo3ahylHA_lVz?#ESo_5&sf^0sP}`m(!|Q@V zw02#*Bs8+wZB>DJ4NYdszvKNiZW5gkuXZ3pw}caTr!a`F5>sGMQC5-M$EHk@yPFb122?$!~t<&$VQl~AtQ7nBAcxoqoEs`~O0u5DyS6wd|U ztp2Q$>_OspN|9#)hI`2u(yb-k^nz9Oq+3B!;_ws9j?7{U)6{*B^6++iO+#<=;W@dV z{-NB%j0#F(K>3l_ET3o+6Uy!y!na0uaB|zPo0`5+=j=kMFru9_?x}31N1Jxg9UQ72 zDv!EU+=#x39*N^;(PgcrzZYaPqLYp=TXj&Z7sbr{@#bPo_p#MXW05FV<*gl)PjPFa zVKkuJ+uKJ~rKK};)aGhryF}YsbjqKw3HXQ2<}vgf>gJrxRVKt$UXvGemUAq~_LC5C z2vP!4ExM1boLihz<|ZYaRR!v}bYMj_CH*gRU;UuvkbN-sym-=6NjFyc{+rSh9s_UY z+0?a=@y()eZ-P^B#E{ZOs&)4LnC;3@-E+Bl3)YU$HBy>{Ca={P@fE z{zhSz$&0>gtX_gz6%hZxyy|lMa-^z6AntDhinhC%3ql{)1 zn(Eqqie1l4no=QY#O>>`PjHz~d5_hZ8|?E)RcR#OKORu{g+(N#2AM@oA43gof1zus zMXsYIu}oAGxIw$v8lv8IoRxTOcu^GtHEhmPq?Jh1y=RHxLEgg;DP~^v-B_S=F6B zET7o|yBu?EMkuC(#?R^AEC8Rtd!&b1qeT@O0Z1JYbR8n|9ZN#2JWpf2MKgM<_)V~1 z4l5k1Rhsr8)MO>1ERp6a>=ku`+JdzF-=0X&H6D>YDy_nASVwBW%sZBVN9b3Yft?t0^J@x`3PI`B zis*F#dHS3JzX~sldlX>FOEE<@fyNJ^&Wm2t$4BQC6YVwE^)Xtr78Mn5s%JVT zO=@(fn%ZQPr-=C2P>U&GXu&KLjeX0Ew_&QwRSMf(>BdT$*EE*dGM7@!AG2~&Vom#? znG0AiB}g%eSDIT``YZ<<=e>iC*2-nI2TVW-uv(Mw4!!WVN4~^21KFI0a09QA-G?0< zGb2$!Rp3pBaf}a$nM8@HGDDa1RE;h*M;JAB8!VXw1FKmf>X~vht25xZa7@D!&r7rf zx;vD77&%lU1wvg5QijvSxC!E&n%LvI7F2z(mO}qf2D}A&})3S<{6cgykfD=yx5myH*L@~_ltD%k~ry{XY z4N9R%G*T!4pY^D&Z}W4&R@MvxC>J+4lDAR!t}wR;7WnQi#o+`Y1>PWA z2m+=$qCo>-7VGe>!)TS40hScMQW26hk+ z$_o}_!iX&!J^eAj(KS;HHA_%Zf$~Dn1_>{bHE~qf62;0(rftMQmJlfY8)9ZJ4=}A@ z!9e`B80(Y;jZ(Ta;8EN(sD=%CtXc8$;9pS<-d-VhUgQ9cm(TP4UURWx0((ssElr#V zS-Bz^hS)IcYofQwF`#=SiqkmOT#!_u9A*{h8D7q)dkre3W8l+*X@_qIH{cvD~g`SfE7zqVE&h z)PgTC4#8N&i7fQh<1L~rSP^d+x+QoEI*fsO9gB)A)bB8&VVP}ZkY={99PC!l4l_~N z!t1g*1|^ekvJ#-`0iHL+OfmC55u82@jJq7X0G7?)HInk0i_zz5VH8tai95#)@$a%j zXmDUstN=n(51McSMMLn<(5a8O?0qlJ*D&kAE^NE|J=b+53o%aj_7q>YJeb z=>b*zAh#eD|1&*hFN4zmfYlCO$KB1rB_(_%AT{dAOhO-7U=p%ti3!#i{COUUNboQ4 zsMt`%zaxsIhY^B{Y6+X>i~9hgEBU&+?KP#XnT2V9ZrjfK$9n*OrR~jP8>(FAS@|n! zV3R|Kts*V9d6NC=*OI&ECQXWqq*h*#Thxpw)rl6~zA<5qnd4@H;y`wzy8JP~8e?W30o@uS zgk$Qk`-#m!717>BVl%M52u=A`X;T+0Eq%ad?jrGSN$*NI!=y{E7JWiU=LjW53%!&9 z=64r;(bPptg?kgo^UbxMJ9a&=(JtJh zRVPa30`NQfwrxP(3@WwLt=#t`&B1R1PB%f$1)J?fp;7IXxRXFk_1?|Aqx^u|ANJ8s;{#+CeA6M< zw552bYAJq^r{6)%Y5}vYCD9_bBJvZJ4cjW1=5Q1To27$uy}U8d)LY3+3O^GnBWhq{ z-X+x2RaZe?5#5j7Z`}~AfL&@_R0(LIFu+*NOp+0Odj9c zAk(|j<7~_2UHL$@u0G@|VpP@EJzFjA0Ov#{u%UK=zw=P?+r$3Q6sRSHBk?__o#9AE z^O4YGp)kgbEok&E!iH+Qd{P+bhik%swmVdY#}|kF+8QuLs!ZpF`rdj;AoZkhhV4UY zrz;Y>6DKl!nfSbR;)nK?I6B16Gtkj~cJ9~>20-C^Qq>qb7Bd&TfwUcXXdbk*{>TVJ zo?tD9pnqxK!1md|jUXUDquT4vK_+BNoMXGm4(3Jel)Oo#I@KkIT!|$O#}`%I_Ta12 zUCMWpuMS6TmrnuHG7>+!x5gQX({YGf+Ikz^$aNJ@b5yO)*=!7;Sh-Xs^smKA#xDG1 z@mv)$ofVo$p?9@H@3OYfyt`wOnV4MF2^tW8rRVLWDsY+LHAF5lS5RKbCU4!)-b68Byg`> z^|m}#67LOzh)O3gJPMgp4fXB5EPGytQ`LJ4QKJa*(^kk-7gH7(M}%Y`)7U1OVPR_4 z9A-^Z3;To&vodamNTkAUei$aC+h9)e28^J|bYAX|B(K~f;}n6+z)!vC)yQq6h8Rix zU%l~e&${Q%B72AA{7it&$kv2U?Wo@QJb+x&bp8H{AFZCAWv-&nqAhhmJzWMA^P%xi8KFtFod;<)Q_K2Hl@~y z83>Lj-Bb%5c&0%V|`|k%7wY&DuC7Y%@3nhCM9s6MNvx88cQ^l7)3;elU@-LuVr|xKn=X{~s zTj|1wzfrftJ3Ddae@!wz^7+!`YFA(_x0!^%ks{A4x~j$hO;IbC#G|k1!y!5*hiu70 ziNEkwLjzY9~)T`sbpZls1KlAr|31staN{OD3Jg1ffGbMj6ft9tciwV*V;1%9D+Z zP%9Q;EL1kqwBRb7>_*ux5d-uaow7~qSCFc+7+eE*mttnhK<&bg1FV$Be%SiliH!`5 z9pGCs)`KVCLV85vMShcTFp4c<0n0)Z3pXjcX!GxT0M(_acEQ1{5F-U~Vqmj@)L_O@}=|a|I^H&4Yupa{lv2ej}}WxH4dx zIyVdHgm4HZ2+np+E~L?-+$&S|LMq%$4?ZtI{QRm`AgX@wABuP7Z|hF zl|M6P(VvN~2pF>kf-9sqiLRhcQ6Rdu_Z4n;zw@D+JXh;V{3g%!Pyep|IXmue{V6+3 zh4lYlc3OF@z~61{0lMtLLQ=@fSC_vu+L+y2rDjp^50wjItyR2xSfhH-mvoZXwvNqIY)$9hT-HA< zd#`F{YvPaVp~tg-&(3Tut{a|6C^N-?4X^Pt1HSC8>YA_5XG^}LX5UtWUt|I5er;=~ zc6w`j?#E4>@wc4%0 zEMzyHeE_b6)7A&RxbJglRxpZhHnGJB%Z&e^)E4aVn@Fsqppka-0CT@^NDhcr!~a3R zg+Iig6Mg5+zieNG_-%H}_VsWd;5f`Xsme*YWRQF!Gr`RKH`$+SWy{mQ(>>_!O!>s% zBmQdD;d~~jZTJJJjH>xF!M{STIhI~ie~g!bNUOQO>b&myz1SFkp0oavI~3Quvw>9* z+S$)dGv4T~N4ays0Yy@7IoQOy%oWOCE6dOM*PN z2E$jggCqj$i2728)}3rKmPYKajcv{XAP1fiPqagF-W|ye3_&YTGPlG<2Sq4@*^1YZCysu0@G- zh#o<@%IiLcCc)hbTghDGI3k$*Cd==A%g~OFS9_Qsrt#{aZ=@i5}PS*sdvVE0UoY6)X zyGIUUkTQJd;+OW!Gjv3KinynRF5PaZC$A^?|EY^FZ~1WAGbXB*4ErPm$Zsuac6>&2 zU)NLD;?`OE1%4#gs=g$CBI|c-8Bx_I@b;PgBTHiCwYH;;|E&7fD$Ntoqxz`pYof>o zquC1WQg`t~*<)|#Ys3$SGmONE>W+(hHK?9HYNU8Bua%#>M?5Fb>Z>|H|GHzAJ&~!Z z$CFdBzMTJOtmaJdb5oNn$9K$Rt7Y|<^KUl2Tt*Ijq#CNe5D(Ztm`1-=`q@7qb+L3b z+L7o~H-%2@*YvrTNmA4{+Efv3wp9(bh59(r)ZLEMDTp{yG0XYWP7u{$=h#luaHy`db{i?iL2OOK7dudCNn{O9bkKpMbB z_ou)#4rx>J4SwRso`08NF?(V=7v|i?ZK7hGR2~bfF{4rh)3!&G1klrjfLu4pS3bsv zhH6Y@JU-4d-V9%aU+AZTFISZRhGC##k7spk82B{tuoH4M~G%-wgJIiW&;S_s&Og9{dpBl3+`zd z=^ZtC?cxsgxS*c}9R=DkL%R+8fT|>`7ZyOX)#U6^!xr@%zdYm1isC-$)Y9H<%~#|e zN#c;g3gSBqDl!d4!&HnnQPydOtKo8@AnLh#g^7_VA?a~THJqU`(?%~<5l?+U>E$0h z#~Q)WIUsJhm3=&bLWfCXy?9mVD45->$Of6rE5wq7Aiz}RJh|PdLDWJBn zD>KE1DP216dPC+-GQ(BjW)WMLWyvrMTwB#)N|@|s7BF;PazLD4LIOHujeZMr9`mSL z9wu2vrhqj9z^>$KVillq(n>aA77uGNupwDpVr2o?xFRQOJiu1UaY+RlJb6puMMKRD z5C8i5Be%MdfbgvpvX}`)bwdDqjEIa`44UjSoa7b%VQ3f-jwu?Shj^%u_%my1gXhsFfm2}oTbEJqbxB)tij9Lm~KWTHD8LELEu8vOqR)>eJa?V z7m=B!L46dc#85Y-f&mO=i;iV8=NCC6RYS}w<&f@WRj5S1lwE(6qky!-Su!*!Hef7L z+qA=Qg#jrNPf@aaQlzq$Fe_Rhwp5`C+c?HdGSG*|;9F_YF(q~>)IJqw9U2Ka?r9H5DyC>>B= zis&Gsd6X@!F*ug+HYGU2xlGg)6*Fj5iHFa{a*_zIfLXQYMG`Y2HD)@mf|ursMjJV= zS?kbv${~xgX@|ld4^lHoM|HEBY+*fIm4Vj|3zSOzLyyz}{ew~<@F-jWn0d{? z_vpvfEc9`MeExTD{Dp4{HdX)y06@+ZyUzY5>BU z%6Wu~nOwjtHnXtRK!ydG4?cfbzG$p!oMCY)s#Doshnho4;D~t56of-am09Q)zyJU< z(+fGFp2XlSU6ieJd-^*bM{h5;y~}R2-Pin;#WD^Bezx|brjM$lny-5GIAPKLRmvG?F zxHTn5*Ilmf&UI?noRc#{^ZIkH7we83b4{?!{>x^Xs-11><+1ZmHk=nd%Y#| znUk#h!rf=`vVF!kp}ZlTlhWPoH9`K2Frnw=V>xkXPG4+J&8@AAQcQ<}TuU#ybZ2GU6idt7->SDQYBiYOJAC>*K~%0QrXS%$-N1qwmC2?3Qpb#NiG6F( zX#kJJVp~N`NPG=#3$?5CNBnm+q-!6GnAvR@jW&KybPmYGBda2l@GXeUaRewz-$isB z>)shgFM+v9uMcp$&7WK>2PPSI6()OO*Zn>WW~l8VYmHoitk{R$w!R1;5aevERH_G zF(7XwFTY&{i|+_r_?35W0q_+xq@a_)d+_H~iAb?Sx4~z}Z2;IphytMf`t31eEDxe5 zIA&}ytKg)8gLsYLNpYC9n^B-@VU-LDJ`Te^_G7-{IA>vKu^_I7yI>?S7CIsf2!#V) zEO2Rn{0IyS0zALKL;@#jVHu=TsuC;oRQTSA3WKFFCO{b^3I-#f&o69!`Y)*%F&@UE zT{jkPwnX_&Fz$I3I%V3&!cq%{P~Hn~5+xJ(8cGJ=7VcLNJfTFp35Ei=N_3bY?+QFc zg}m?*Wm$h^11>78(H*d6hkXBU$Nx><+lRMNo_V7qMPUUm+x3h`@<{&Dj2_7&`BRD# z9H5|Q^hh4dvK=W(aDbM`ai{|ni0gLKp6yl5Xyl9?JC21z4W-l;1`LIQO<+@&J!>lt zHECL6<8}kvv&AOm7?yVz8`DjByYG2#r``9DbDeXp>-=}F>*%sawmgVJ;Y?MJTs+5Q)0c% zxc38mS=HCeRM+yYXI|?KH8QtI`TjJEtdW4{NF}~ zyEiANBIlLZZ#-WkUwh)>V^Z@IQUy859U5_RxBiSduzKO>YKR8qq$W*mf)^A)C zDpBdtM?vLXI8vTwiJ+`zOk z$MtsMRecGMC?9U?^RZv!b(cD(hL+p{yQ8z7-^GKY;an$EU|TwlbJ1_{U2{U;Rf*IM zma9Y040Fp!ctPE9XtH_fxxr;5iqpcek{n0#yPmSuW`^f_a`XS%w?fX|uMf;2;Ubu% zN>KI(PIB$S2su0=Hj&2{5%?0d%J<9nW2IhwF8TF=XV8l)RYG(|=q*G1X>PtE(7&wC zk&aV^*1j0Gw$NBs_DDE%8j&DO3UWLym4NxVAoCTnm&xnHB!&04E@uLZ*$K8zk+Z!7 zcZ_B?(7En-tkL@8DeD~DM7vWcW)j#~K#ncME07Ly$H3Sr+PiA8Lo$mxhKm_`5}acL zH(EU_wv%1-tm5rrUl$JZ)o$K#tYd<+8ExumzGzPP-=r{?8nm75%qgMsB6U))R)tzE z!9-Vt#>DrYH8^`&;}P=jqRf;e1g01t;}hA+iV?0-RJtoW{yx;;kuE4_#=<(^E5C(L z9JA!cD%lOTBc^uGJR#6WFRNlgRAS=9)BLcV ze5?nAa&Fai1*Juml)9Kk)6}x-M%I@ zJi~L}n9{|@*FVu$bms+Y-+WD9Uh5M!?UnDGv)!UAqsJKvOvn@(B8>!hoK4>llD1Kv zPH3Bb$ItNMDfLsJ{ibiA>-f`2jnUVl76t)h=+J1wvm^!OCEX zH3n@Gs1G9+qZ&3wIl+h1f&EU}-+_3hn4l!~`A8LJsMPz27G43hYkdpp=J&>P&HL%E z%N3Gv7o(NXFLQ}k3nz+8?I%fPvH7XL?hJV73wVmFMn9BGQ*}1)=bjP%dH!B-G#?Oe zRX27kgT2#*7u=T>Ph##iDLn%rR}a^$&`UZvwQd({wekllm|t|?&QFW--0skleyk_+ zohb7+`q9K6nP-%2*$B#BEpUU2!m4maiwnm!^wu01(rQ-Z>Ck0;xpQ$0)8fvlPucG9 z@3>ADALr)l?^CY7O@BP$ev-MkDoXimt#x=|_usR%o`2*^ko#LDR}Ho0O4Zm;<+ye~*Y_T~GV=u|wfpWJ9n2nG`eVEX`kY$1jOvD}tdTc0 z;kA)QU)_8QUr(ObLV2zBYV;lP`nS=@{=WIW&7Wio3_PC@P5o{k@Z;L^S1P1MaguvU z7LB1rZQ?1uF2MYJ?PXyHsV9H<{4;8gQ*tz z5FPBcBHCm#?9qbiyc#o$e77EglZZD6N>L-%))zHWMU6tJcfrbcD&rpdeRV?OWzl|4 zu86rzP0f2CKFI{IPQQ`9AHT1gN)#3EA!&1poQnA6Pj3Ah&1Y1CyAdrrd~8ObZ^buH zszUQd(E}r zZ`^#=cL7_6`7g56j|Bgio-Go(7|5RJOq z5ILv8guu|w5#cZQ2*CswQq6ZlQzm9v#3u2ehUhlrxIuB;#}|v{h5}N@W$Jy+Tp`bb z-CAZIRRd|cuOOr$W(J9VJ#&M6cVXkujdB_Y0OoX3j8FiikxlvdDd#aC&+`iFl#ASYPLIbjbFbdPW3AKox>b4rt%d9Gz z{#wv#%yWZVg4iY=Vo>Zv?9y@H0n{f;dquP_D&6pcB;DX%PzJqmEqG(}zi3t zwK8EO*=g)o^$9z5P!cf5=@iw#u)5W)P5?>fTE(My7hXA3!Ycyk4QD$aj+$fvI1w5H z1x=ND3RG{RV`>VI%iYByHigZnCgh;03XA2OV;jK13hp=alBR1YPu*EyWfC#yKn+(N z3@-u4LYXZG&XYcIfa{d)H*$T30pnHylQuarqES=$AN|7(tb#y1Dj3p+Ak01TL9>|A zZWMs5p%`SaVN;C~-G4(?L4siqSjdUyJd{F?Bu9x%gf(KF;+zGRJ|#5JZc{ooLRt}Z zk|PLaCt1T>tBBJq&A=gGw11M2VH_i>;$Jg=0acL0XoDgt-Ym%`5|TO-LXnAp`I>`; zM?nW|lBIrA5*RSVOgH0@!D1q??}B5r7Bp+#F15x`WEKYFDlc8tJSQB#S^FzlsOX9mI1Pd10y#YfYU?Rk z5f;~OP!z)U8_`w~$tpmyf%hWa;DD+Y$kp&Gs{;S00`m}n!Ge)B=rM^ALnh2iKlzdX z9(fD~+DzKW?~xuBXw3-EC2ze_)VNh&Hk;x_STO}n3QeE@-Ksm`VYv7NO;p(AHDpu# zr#3+gUSGr+zhQ#I?r(s8601O^3$HzUSFt@02M|=lD$G0?gFsQuj4=pbyg3a_D0spF z)+|xM8>my@xIiK6cHrn0(MFE4sh#xTJd4-~I@qOBC4e5K4csY_&p8FyGe84`7myps zDWYNY_MmyU-zFYsZxybLsGZEYFZHj|okE*u+;!Qb`j5o~wXv+`DiQiVD-)8(g_mh_ z+oYUfCNua%-`;pf;FmUbp^1KQF6``~e?DUY->-A|R%r_9?R-Irs%MFzQVxa4T%bcd zxWI)9o$ZRc`hoc#=M*aS4A0Nd1z(-o#-Et@D&5?zwM%C^I*%M5Y4IsF`D_XQbEU%l zFs74ybHQqx#C9gHFx>mGgnFMo8W=hjY5`X|27o4`7l}Cmgw7%j#aNewEQ}G?82P<+ zAqU7B%&xVfQH-?%rWY}7i6u~Qd$?GSi(QDz(BFiHq@>W`Jv&dsl{~bz_f7F`V|n0Z z{*ju>IcAmFR!8%0c~s>NsI&101)_vTwyojUCVe5DEr2k=6Kd(XTC*WR+MRBgZGwmZ7g4@E@eg-tyI{(7>#R{ z5Xw@`kW-9jQF{g|l#v;Fz-!^Hx&DMAodj- z8?Jqq2&jQ~8yk>N#1do3k6Z~sa6p47Y6>$+-No#;jB2=vtsEs>lI4q%r(IKY3mxvr zzif$TL7iudE3Ps2KwQznOk5dU?E1`wO4PwQ_A96)|AO)j7yAg)%6_HhCe@?RY>tVo z^qqlP!RwrXWxMnNGb-^|_WUXmCuu_~@vz2gi>BgPh~s3IAYuwkzhFs~6`Y8)x>^O~ z8bz%FzlWe%6q6Ey#~AFAf{9K-5f_MJNSL`xPEsT}gdbbMK#Nq8NhRCEq-f>ouDF%% z=w{h$j~yrq?F@5_>x#Gg6Jlm%Zx0FysrdQ5qBX#VrPa{tIpEAh1Sh_;J9LOHL6P4h zSE&%`JRCAOa4+cu|Eo?E^u2pZ5rC~FP}jcra560HA(mbtAz%v8_nA)df~$Z+eq6+` zae>eyT0^+D#U-)Z5!p9IC~cg!$2Au~kNhRppzz6}%aPBJtJv5KuJ-&yE8B{kMlSr7 z(o2NqjKC!{Hr}@xl`oM)jK>rca#AY#p;ITaq^$V1W$TG9>SGcM>& zk$V_5E``sa9L;NKx`(Ix<4id?!E7xlzD&c(2tNf6K7X8p>2`gT70_m z-EmODS(q6=Y$~1+dUzk^y*+%~d2LzE*P#-q4`NJsRqAnBvQq*Mz9ulHu0u3QNG>)Y zKh5?MxkE03BI>fn%HZvGTDco+-Lm~3(ecxk%h7dkG(O;Y`dilc=ws^~Q_H)rHgi7) zicAlGxq98sw(=#+-!s+p=%wm)hHb4WUJ};*fl@)K%~cn-OX{X%eJC`Io*}N+b`@|G z=r;T~3vr>u#i*0uCtJAbT$x1AsU9#G1QA&dNG1i|MKhx=7xy@VUe6ZI1c@?#G7p1* zQLx|~!<;ryk9DD0%%h_Cbk?|s=ig@}NxH^a2^xd2N|1)9DU~9S{lxPJ6E~?@DTxD4 zCB-0ALbLqITnT0;YZhq?D{nn;h=xL5Vi}1Ld-Tj5e+9f@DA#j;Y~cAh8UcR)>c^M}5OXuRsg6F|@%o=7;C1U+1d7D0?Bl_WU||;dSNp>BZRd zKxX-0X`rKwOufDc9GI;K$rods{`mS92jeg15Ys~j- z*CR*2ze}&`K5qG1qOXUY2qAj`Kim1~1FCQr$^L<0;UDARXoNqyyrLa=rghG}91njk z;1|w}ESK+8+fJTjhsbcItJ`{SlCk>>wlig8yPCA4O(ZI#4dNbRT~i~?FL0hQ?MYNm zPxAI}s-|{k%$^E8rwoUWs+*Rn<```gOZd{dckHd%=%iY*4Tk2bzF4U5R=(wQy|dq-SGQEqUB&*3M~;#XwkhxguK6sfo)YGX+NGx0^ryrhke;XL~XEN*t)8{@byugo<8uSVbawT(~ zG5^=~+rM4ae*av(h=K2hl!ylKWQpI{;gk@{|%5nUp-o@5q6EQNG2zLtqiXK=0tGem+6s@w8P(?F}3T=9NB@D?MI%Q{td7?TE z<6H&>Fyl7X6~Ay4(~qN5Jbg23sHHVF_`FhMnYvqGFVOck&%(-iLRl-4_lozh z?io@xrMmEhdNNG(v`OzM?S zQomnNpEw%eJ{ZmOUlwmstUvwo4eleimCeO>Jyv|%bHlW>a^Vk5ziml;b>A9OJmH7W z&`>h;MC_z6X#JRet!+t7FiKV5MQ&uky(T5N2ai{}6P)vATlrLM?aablyKj~I6}vzS zcYj8!R$p0PcX#uZzeBNKsR6MvGdWP=Y#pgU-(AxW`B!(pw%4y$H9x9jXxN$TXPe`6b4nLC7XKC*)5_}W%$^cXT%k`bwjt4lmk_x%3q?plGq$woPcHdUrP zCpY;kaBhd877b2B&tJBfTa+J2|0vkjb&zh!T)RADUu(`6m)BH(7uV@8M=wVf$O|ia zuW;cAbZEb<9!K|0whS2m@J2q+H@Jh0iw9yWL?}7aXlm`M?7t$-liR{tH2_na$5B>Y z5t3rVp`R=01ZqF}FCI&w1`gWhz=Jg6{?4gx#x zn{j=7!J}@e!07iuvjpC1cFiQZ=D}5OWcGYdwDwDG@^y zPq7{)$1Bool%%gKm$hy_!Z#*(ky?qK)kvd7j}3gu5uRctVjB}fXGk~dD7r!6wIZY9 zR<=>BN+gG&YaF&KWUl+S4{mTNDyXFxNO5I+OjK06;LoRgl-&d}X;XOuxCLo5N$bc8 z6LRl_N}beb2e9W#uV zLluot16re+knqsI-&kz0BQIR3b+;L?PV|5#Sv%x|UG7wwIM722jNv3-q3DMUUPHIi zz?Cs())A1>1~sG7U($&<@C+~Ag=<)xY0hbAFt$p%#PJdy2?4D^fOi7v5@-SH{g9yg z6dgzgkmB5L>NhGuFwO?Wo71Rx=AJ+F7tfo$kI_z}H`kdq1qD;7T#PyJb`$g1tr!}3 z@o`Xsni)3jF+IkD?HzIingJ{uP;@i#LW~}`D0X0(ZdL&zGbu%!2@Q}5feHd0r9-MC zkx7YxJzX887otlHSUBP56bm;JRf9&)MNXE2;0Roi0&rqm^g4@oJ4Mr{7(gO<&TVK` ziE40}bb(8o1c#yDkb{!2Xr9Hl;OW9vD&jw}X&QaF`pwrEn`+B~QGj5opzl zV%DsIk;$hvPOdG3TEeQ0V8?Do_n;MCGocRLrd(`1)(n?kG>((t&S&OZ{Df`brT*(+ ze#c{x2e9jUM-=T8^PCVeYTTETQ4ovCG8Ki-MOwgS>LBNk#0O-#ZwhN7Nb#dSiRK)< zDgoja)QplY^_veW&JC3nij3qSZ-pY5qRKYWFC90yfr?Ad3L>9Y1;Z&nwadr=WCs~v z0wk$WR4^{Rd08!N+0*GohOkKF^D?bLuK;P1hJymXEyfu6POy5@pva~kF+$xO(aBM( zK}sWqUBE*e0C4IQQO9FohIcXW@4*QSPRbPaR4B?0SPd4BG77Bp?w5+DpJ-sXv8*v*}JeVIp!5dN|eL~Kqs{_ zMXpH^3FML2VMY`5qD}k6L0(P6tok4;0f)t5qC}5bPfzhSu=O%SiEjd0vUy?%AWW+o zE#R&)n3HTnYK2utrEw&)j%@`R2oSL2fT%-J_Uxo015b{6!dPZ>%+nkYA~jNGQfZ0- z2AGje8!H=cMU>YhZE>AD8{!Uwc90304)z35$V4cxHCA?+%E|l0e78AfwwSy!eO;Ob zJ)NBxkwOuwAHr@Z_z-|7bMK=yvSKy34P{Rt(4uKY0_u`u;Du&H6z)@HBZXzoVID_J zXwy|qxd3XJkboHF;F(@Df&^&@^3lOu@RTB|j6N%*^WwP5*i|8qJJMK?AH}RHd4ZG@ z)Su!My#aO^TsL4FsL&{mZG%e@;kXboYC%p>RrB6P9tta#3oWn<4G`($;76Eo1?(te z==wi?`LJz=1pJtv9rgrLp-ooyfMoavN z#U`#4=XpG^%vPO6DmZ9Y>SxFZ*E(ijX3al+3qM=#-DL2<19blx=9$r!&_L=){|r6W zaZAeywmQ?j<5sPD;VtV9xu$G6)cC+H%n7e;=b+G@(RMbLJqsbAH*d)7!Ps4y<0KYZ zW=9isU2VmsR(I~Zo=rW`H1mUFwfc+bcFp(JAfK9eSuzh^U-N2ywAXo=+5B+zVUkG& z(&{L(uey~SgX&00bIg)TWSY?74yy{ulVe?a6@Ru%aAr1`i8A3|B!0njUo(18sSI4@ zP+98|y{2tU;PCF|XWxo1BYv-STPK1i278BMV1mfB1wHRy(uZ zv61cy_KCmNx@?(==*Yq;UAXgII>$BnzgkwVe5!PC!($}*SSYEtllfd2HNPhRqRU$| zEiPjvPu{rwXX9{z_0ymM<26}q5upBV5hM*35KH4`@`6o<1$kU8r$%e_{32n^s^ z7S?P=nn9MhPha_OWDACSG~QJ$)TKIVrrjUPG+>E4X@Dw5j;)Hu)% z=S=f0Tz%;}E4Ru!8uRz4n_1cQOmSrf?wx1d>pSJjDdI zCYMUKb+C8}?e#0qY{w;HeRSFvm~XSz16BLX{F%bEb)CgO(swQ#UK}~|*5^x1HP!|u z%I#OQ$+D_u2$YFjI6AP2o(jzgCGk#YQo1MMOF3U&Uss6|FNT|?r-VH&m zR!T6b%h=cOs${MZUN301YE=p(oP`q_DYsN=Q`!2|M#@#K4l4`p%KaNh)aMpZ8>-e` zSU`}?E2|Z`N_{e?&j(-)Sh5IcCukWaoY9#=H*Uf$ z=>2AhbPX>FYq%SqQg55AKtaJdr`Gn>@7?f;(W|mn=dzr|Yln|9PYZ)rIjs&o==_29 zq87`edbFU$He_KKeT0wT<7gNyJbibMJR>gxDm09)%8ypK%4Xza(dBEu!)5|#%X62K ztE>Q3aa)Rea-K=t9H6CLRS@gZ8VH+C zGA$fN1FuZ_(5&CPtx9}IT(qS6PRgNUu94<}SG7e;r#dhViP-qda^KC`JuuY_IUBY1 z+@`a++SX!gm22V5e0ALg_chbLbzZL51ATWDSE^U0lBjrthsTc`bp?2?g|3t~oe~q? zbdlm`03HX7T@A@e5E?g?4i|c(cM8P?+}C-e+!Ebfko)%U8y@W)>*?E16tziiBK=oH zUKCb6zU*)7Zm2_c$uEiC1YgxvMOQM6!7oTBLGjrR2AOalYWFjlV=R$o;P54QzFN4A zr}a8i;VC{NuSrG?j)C8$Y5hm&N})#vOHD!RATQ)u`?p!*omZL@qGVO1=OF_|{IsC5 z%?0~@avDK!V5{&FfVj!#Jo~sjC#GeYTNoMKKlK!uNJ;eso{kRSHZ6Hzl&%j0C~L0J z+VPpLJX@hPYw+|7fAfuts>KyC-jR^31teH_C6C6HCixCRGvQt|sxTydj9HbKxZpHE zVxM;d_XkaFIujF?9uskZ*vv6uUPWT$GQGx6&=Gw>upcG#S;pAbKP%w2ekCS#jn2Bm ztD3TJ|26;?GuZCPc{kXD)?5f$zL|qnc z6z{pe{r9^p_s|<)Ku7M*xhm0Sg55R3zt8g@1OnKeqALS|kexs0{IdGws5Oc7Cr2w# z{AFeZ82%@<3bq9gEeyxxq}H}D+w_OAKflvj27l@}j>|R< z-~W;pms?%;So17XQG3s$kAkD)MU#H=>AEiMII8tsT$=jh1?@1IQ|n_LjBT0!quO_v zUDp4g!>JN}Pd)p%{-JwDx(X(g-r~!`QOnb$3Ox|t7ro*-%3LQ`FwSZGEewW#40QBH z>Uqy&JZx+(luGFJo^P{B?3&4?us(2=Wp|A(oEU6n-J9~ld*8N3Mi+)(JV4sX?~kY_ z2U^jCUGUfj(N|9TS-)O-G0fd6f>SN=lv-?sBdqo2HR)#`8G`&si_ z&knZky3O^Y(JN0cc7DJtJ+|3luL!hTztud)i4UeM{XZSuc%Zhte?aaPPb&i-hYDo8 zu?{uEOB^N|EIkGtq^ySiKk5VN4PoFVU0;=!@@Lfr^rL@ilK3&qR~5@P*62Rl%o@9c zlby{8oj^G!x?z$;d3-1h-D*2%6AWxAl%fuhi=GyNdajDJItE7D>b~vj9^gAbXtSvV zoGC~Oio(4)+js~@qjXP-Ti9aO)rd@zzr+}d15$n55NS*tHbrrQNS>oAOLCm^^88Kz zrh8S*EP|tphF(S{3Wj?jZD7+1SP#2d!#Gs3@OzpVS%-OxDKWs~Esg+zF+FXd8jy?{ z5XA@@^yh;#@U$~p3J=0i4!m{BVE|UGAp)Xg_#RHgK4Y&%Dkpce1}YhFt-IZ~_$RkK zxZeP`Jg}1kyf%ev2cCrVj;(Ozvm^1XkY@kX?rR~pF^6V zdk1}v{}&<6;FkBNkmfDhzCXL={VAk*%f4^REpHiay*e**S84Kg+5O6} z{}66@-Fnvhz4)2Q`zNZu*nej7f8l2G50Ul(NQ+)*L+v^QN>|GdB8ZpHd(K?BzztWw zC!M(xy*jn^>-}>-$&bIzRNp6gw|U)@dGOVHz8klU+4Ey{?$DY5y?Ohp7xG`cTX%&M z&(l9qA)0Aie_4&rZxa6R3-guYdtmD_x6gi7$jDDd#HM#A@@sVw+&ik+$qPHOIx2c}))I zYZ$5cUbe2Z_$)F?f*V)?ZwJ@{4h@P zbOl3x&?l<{8f4dGO%Mq&D6|2@EW zvFn^>W>$;(rP3N(%F+~w8nY%v^Y87O@RLrbSy6}OJNwl8b${~}$gJtxz+5;-fHc57 zibtPf{kT@2%rXfkJ7T4)aMZ6d^ntq@qp|^9cS^Z~e0q$Ob0?5QtWTkYwNt6ms&lSh zNeM8*N0WMRjo*}X{a{$Ck|OHnSN^?7Vdtblqen^#=Q(IREU0l8!}>rUsnHVVWmLWh z?Ye-hh?2!P6!2(@1q*YE8@y31GSEre9L>9UoM3_>qr&apWLtQvPo^bnLMi7g5$-4piboMq zr^;%C`J6Ns_yKBs+Q!0;;S(Yu{h~AYom?;bT$`?aWvr%t_xBo zrGAa;KB#^rs-J#@oxd3uJk5#WJ7{U|cYr2B+ph427SbYFMrm+4%;U1q(R>c?L2;V4 zUd9WPkY&d<5zDB}#{5b!h`v(UB7CC#PR2_UNguL03(~>NErC`PC`&Td91UJbWWYTV zL3V8lvhdae?W`b-+}pvr-j(Lr!JNp>y9HrQeYBHw;w`_QtY5-Q~Sl@T`8@O9$aaWcC#e|8B<;J zUeTwwWGa+MV}`N%Z12nFlSqX_p6SAasMj0NTr4;*s!P%t{*30A$_w~s3_e0QQ)Zi2 zyrS2rNhJRE50RN9)a_=CCxReaOtOl=`T#^8(9F#OQ_vVR@`?rWk_xOSDik<7VOk_* z3~M)0#~Ek~XEd0=QYB^BY6cK`oNlnm!Fe9KfH>N<&?kdIThLexNRY!2)*+GXLO>_d z>_>Yg0}Q-ujfOe17dp^W1aO|XGzi&ejwyzsBTR4Juy9Gc5z_9CfPC7q3!kEg1w$Xr z7<4;i9Sf>(qxEC7R z8Wy_1Eb3Cv^*ZJ5P6lb#3|QjR%93aTePf?FXbPIWafL17&tM?(rLR-UA#7c{Pv++t z0TL4A0h59t5=9*4#_2tBALb??wuLZI2bic?MOPg;Y&Uq0@&@=!jq`)1KGK<#G(Kns zs#zEGL|JK9vUN(8Mja+ikldn)jxkf>9@zawCJ6UnJ@k|u(@be%0xoRUSYtq^WE5oP zF9c`UC0@tQ9?pmk(s`FjN*iY_0RX#K;7QKSbY7QMucN@VOrxNR>flrq%+u#PrL3fzn`KU$2PTYL*hX2vfX)cP!lJ^; z^eNmguwl(0_4yD(v?$MthFM6d02=LX%t%ESG2rha6i8{EHE|)6-sacmr&aYy{m7rBI$pXfcQsrJvHGI$Y#ukxYT*MgXpE zH)dkt4txn~bF959F-r#Li5`P=b4p_~=Cp)V<`6iFi5$d2hXh423~t3~fP|Ea7;gW@ zUxgAnyim{(((**WqE=(1K|M!}OFC*cq*Y_T(UFQcw!@Yq%}DhzJU1mf3^r8g;EER% zrkDpek10jxqGm8j?gz*h43!m1jyqHxa8QbtBw=ghXJGdZ%)gn}icCdu&8MUhuqikU zK5@h>kW&PD61+nzgT8o!LY$Oa!BIC(J?p=Jb?FhhTD?XLo9$?P$0VYJXdFguJQfYTUwstrWPHL40i zW)r5jvdsI(baxckX)(8EH46~d83?H5oUYkmH#pHX9z?n^JlUf+A^}l3?`2hAz z%3gy7XvrpUjdMW7R0`_{jaj%bP-%!JfsMfmI8@tAK_3npVGUVf5H)M|5=Y2e9faJIvy!p6!jB}R9NluoN@NXrK6ZO#~hnFEk&$KlOUR2wbLV#n450#U4O z^Jm}Kqqm9o`kR$KW1TgG(Z|$fA7qW`6(jmrXjY4WfyAKco$#o9O5CaS-N;-m5|ukNy9vxWTgbz#xdnra z7RGG*nl`2M?ucurYUn{WGb#*@@^fD4EdSNM;zU&AON4`J=osI4X7F(qxlv zBI?P>d{q6b?})4f9!+hUXHpM;(5j;+;KEo*Qo?|S{+^lSd<&k#*r}hEB&VTTfB9U0 zV=;(}bNiQgdK6=-T#BGggo{iEo(9`Vxs9G>XW0&^jeDQKnVGplAcDJpl9i&$Rn(aF zZk5+=V>&PCDpjjy%$J0z(%@xbN~fbqfr|c(3aI^j60nEXBJdSf6pM-LLOIAP{xTXPmg!Ggg6~F=@wYW0zT-$UK*Cb zn%x7RoUQNKzj^1M-93{>yM6Y1c&p^$`l-erE8-+KlK1=DmleV5#s(*E;G3?{odHPkn z$uRhz$WEX@-bHRlz{|ahZXvGEz<7U}3hQVcZgmH{QSj)SUH~(8~2?KQ4 z7A2OX(gxApu#tc(qsqQ+J(j>UkVQ)hUj`thTb_qBZO^~l)k!{O{7m$Zg+kSl+t7V$ zHJ`|NA^M5uySd~(2P6f+4tp~9DIs5;JGq4>ChOTVIZ+;!YSs>xziVbn#J(8Ul|13@^=vJ#FfKhk=+UWmGA;Hlwh2{o3u+S#& z!S8GA5*9!zguL^*S_1ZY_2QIRBDuc97>QQT-!c(f7Bpkj)ELW4)udKv5u#TRo7`8f z48+Mj$$1r0QF_Clhvo(rVN&#>Z1=2@Gt%V9leEQTU!i?iuC`fsV%;fQCNw8_MJV`l zNrH=xiE1Oole8V1;7U-LoFtd%qj-W`ibICWki5rod~`_OIv}#XPDnL4qO(AmzNieW z@Bdfg?Np(7ff8Uf16;&c76BwHzdBwtQ$lI9a^6~@5=%gDTE0!MpQ6JTN{s$TZch6-@e#ikNA zXrj3a){aOb0ScJ(Jh)j{Ak~;{>&PY}VI40+NHOP1l4i|T`1#&9(Z0`l`6Z1c_gSt+ zqqXjP5%9 ztH;#+`z+ImKjf_Ymg%YfC)s`b{J~_H4Q_@BY)q2S!R37>ZtI^6v2}|jtCOTf9ZvfSS*eapHGkwS9h_ij{@`;nHET1n-j$>&_l zWP|5NNPA(!1KjG?n(wRcN8cgFv728(#uyNl1=+R9qH(sKrz6vNn!$_we9mdOc2P1v#|T+PwAQv9Pj`cRxdI+u{X7T?3y%SWx2>A9<5k{6}{!ne?H zC~0^tWHA8a*feIR(L7{H8)VXiS*`+NX|!p^puK3XrX8CDa7rA|@*at%ABQwG7srg? ztdV{tAqL}_iK2KTcwV3y+2GVtHWAs^E}`dXSeG2C${A$@-Q8 zh?4gUW0=+<(&8#aeGG;I=5rqs;grH^w zX%xu3B)w>oP(swiI84OBGLuB7pr;RszXmF;(@^e84#UELAw9-G5hQJug{1`k8_HFR z8Ye-M#D_KbgECvX_qWpeng3f~yOlrr+D)$f$=7c3qieo)o7&o+4Cx@j`9Gtew~X!n zpQE7vKWJ>XF)&hshd;j|YkqqkYV2!^^EYOvV&`w{_ufggspA8{y4Qyx1bSFs1uig` z8WO9#!*hoI@2EXxcME{I$My8t83>oXkOx0Jqf5vL?+K8oFq!EPvR9Edkl2xJM2$E3 zfj}%DbG~!YJ0{=mUneMd%(-~cnElSgV(bQ2f)01-wdxOT;Z2TnL3nhNG_34!p73Ei z|M6V)SC<1T=ZU+ySVW0Sb5GhM1~NsS}(=8V{)u(UXnar zs(VdH#6L0wKhhHm}7IAcXO`qlq`n&t}8PN;G)X$CqmD@$z=u$S{m%GjBXJbTX+|W ziW;(~uoyG5__--A65vbbZBw|8D-0M8Vyn4Hrb3Ip$K{$%@N@77R|@~5vE^ayP3(#3^0YoP-m{eGmz{CX?F)VOXG*t5fqLtX|j=VaXsAXdA1m( zT#Ah~XCs$Ot^n}XuNWBpxMW=$SyMV$(pUx46k6x^>j|cLZ>GeRmO5yd5AWFQ?;K+H z4DQj##mrjYR0pKV?iJdYq5uBQ52WK{7)d{>pZ^(KceshA`=$TjW8M8_SAi>jPPp|t z-{|1{z$x!gDC^@P)3oBLM*Eu=wGqNdmX)r2 z2`Wj>-c6H+!1sMZWF>F9H_s3yD{cQVd+rIO?$4tqR(b9Gk@Tb0(PQFc2mz(`7|$G2 z=J@6g_3J)2eJ5eUDd|%Qyo;kpYi7b+BNt*%(CgjO;4eAAeSgD4;OVmJXSvo%n7|(G z-W^by1X~Z@)JrnGR}N^XReLyoO#1{QX+sw(RAJv$%s!}{X#1i5^)grQ*i~Zf3+RJ} zR?f{_AsU2o{`Y*Bp_~kSmL+L%lOA`32`O5LJQAzk>DH|G_uk`u(k&| zuxK+22e~<+t3gc9p)#qf3mnEA-3rai+{@Ae9yGtZAW-LwkT}uJP#uw!UKMTb(YJX_ z4FnP%c#ql5ukZ`NUUa$I+FRN8yg>h zP3&b}=o}P-HvmJJqq#VASW|$G+^14x=#ZE-jS7OzWgKGJ5IaM#ks(jgN@G|yoI<fc1j(q`}m4kSk=WDeJgl7ATjS8MW&dy6g(=3;z zRbzS!f-2All|GY7onTOc(yXS7#&nlNjjL1*#;i%Bl3fVaCq+h01hflk3b6>(zVmKq z*~${pNIQUy;8iwgWDaW0k4{ioTp)G1siHBx-z+<}4{jdro9%`^RB@^M9d^6ehDrng z2m3*uuNl>Yn$4nHr=$g7WO_{wqdmun8lyQ_v*Lj655?mOWH(qtNHIIGnXyZ@QKRH= zPD+*=BgClKh{%X{tE?^zAuu?CbP?l0mMcq!YMhJf?6{tJ!Vu; z##ltt2H0A2+LSf`3}`Aq@d^b8y+LyRP}Z%;tGV(X?mJBdmiBqG$f9AJG29vgL=l-)+o zYm{otteS>1mz5&MS#nC|$8iRn=Ky;&IE-IoG*-oo07t%T3J}F+D1`J=0}@fAXNwK$ zpc>jLq)!3TWYhp&1UdJD&V&A31zc93+CZcy>n0`5n9^`UWewc>nu3%(D>)8nAwv*s zmAdZ^R%$@=0(JCKb@nU?s>a#GC?8Bv5Ii1G>Pl zP2jem!ej(KAn6_%A(NnqrwF*{>Sii~fyAOj?B-PstoD2`n;DNe%sLB`5}>;C zGWc^Ab*P_R_^7t#%|Gy8?SBoc|Jdy39w>0E>)Yb5?7NgFM!_v`L*nSi0!o!O+u`jlGLs^(@1~f8Dx{5&1@a>6)eIns?xAmuw93*6EL;r0SPU&VWB-|{-)XC| z7I4b{#2pX0k0>Q`yVj&G^$A0#W5X|&=+Es?nnp8a=!=?y)TDc-MPWtDkqbS^X??m& z-$icMEpMo_raUnDKgfIgz_zI@QCv2LH9U4VQWRHl)5KEbRL)0JhqR?)ecD`yi zEh&_xPF--Jd^BWd%f8tiOO_Maahk>$ChhQ%q;4mLGBhPHWtZJaQWw&~Fl`8IhGpN7 z5_n}E?`;#pyv!{7_IKnoX-YhAhxz08&r?(D>Pou0ALpEV?z!h9cquU~y(HJ{A@k>I zp5jCKWx^VMBUL8sz@sB9FFr8B9`73oas70wm5B!g@f=&&U)fX1)QvDJ`5i|wR}sZu zqV*jj*Hy=4V&xXt!;O*QVQV{|OSq1To%rkcrCeQ*nH5eO@P0mbXVT@mgxfDUYA#{d z;|``z4r~4F{}I@T$71|GQ}D}ueNX4{txtP}dr$K#okA8n4i-Q~LM~4PM)^_0QQ6Qh zw6h9UyzXCmK%1saRjA<;kfMNK6mpn$6GN^s3qT+Q?h|Z85PQn9^p}009``tnYGAus zMmPc!nh4C5;E9+3f@P7`1?1f<8`#CNJ>5LZwfb474ju}|Fg%;aFA_19gZP!)5Spzn zI|L5?K@9vZ@F>@4se;>!ZNqrvY!BM(YPMWb9x~8Bzvov!Wuc^_uPlR837uJH2{-sw(tj`ap76$kGV>$qpn#zeNw%;L z1W}{}t3*PY@sGZe!28NNV{MSEuH;32R>h)+w}^L$8d-oT__bX zIh(g1j???Co%lEBMjoN--DAWzF3vL6Fe8XB$Eqvp$j|^0`V=2Co>ET97x5YHbg81e zPmCG+yYkq98VCVgG*FK|#dAjLtUPMB;-jPNeQ3woPIHBUEm1nf3fOIUDOn`iyFj#E z3YY)!dS!V*cA4|X{LUEpRJrS6DjUmYPI}AU>4p97plQZJy-H|9Gm>O}%{;2G1bb&5Lp8Tc%`X?%(Q*Cm!F`LT;w`s@A-tQ{*sSFY}|Z`(1lU zKL`~wn@PXCA5*nr-y5y+!{w#u-#k-Bf^b{aip@JZiydl(#L7y#%6a$5ioVrMb$0SZ z%zMuYk87NoCLvA={UrpN7862igL@@k8vV@A;{62pW<5Tu8296KJiR|NPndr66uB>6e5r}C(=LcQ&t!Xm2hD4(Bz2R^~Z#McEqlQS~P ztx@&q!@_IkGFEhafUmdn4cV`|*eRBd6S=7IDE|^}==E|iB&c{a8RSLjn~Asq^kX5s zu)7+f69nB2)xL9=glXJSO&{wOSqCwJeSyXtl^!fF^BLA93}g+m2^qhSbq#V1s#A;& zz`*vib|0L(G9ay4O3X-)BV3U!T={yRUR0^}v z8u5s95j)BBE1S0bls-T^wqy>`p#}_O*8*Q6MYO+Y0J*Z20Q?!@m@2koxRjPXd?(&W z)okWNnp%*czAQ@4W**f2l-ly2^ChAY9TdhX1%nVtrKAU41(BBA=t?<5ms5dx1N*>> z>y%u9v7gWk+VUUl_l~mDPT|MCN5CNgh|XPGu}$Gn3q8QLyz_SvVSt@IEk*p;)2G6x zBz@?V(ys``G!7E|H#tI@Dt>dMn_@s@c@Atwr^BOReQ`@Q>_WQ5%c}njB@A|{{$c$*+B#+(cgG? zzLIdea^Rc~>-`x^(aRv|9_7#cC{jxNj`rDg#>4q371nAtyFcpK5_&Fj=t&U!M^APC z7U!!HFUds*tJqquPAWQRx1QP6pekm&#wy(o_3Yucd~mRVIl;D6-yS)^j=x$VZ3;gp z=Ih&H*~~st^wIf#4)$dvk{VsjSTrk>rN?zRXa*PSUB7wQ)$6=ynBiRK`I$#bUd#0G zzZh#Mw0w$r{^iGXT*311$J^1v_hT+87=alCUJqWs?-*g{(kY!(1UODz8 zj*s_U0yW;*oK^e*I;yMAb|wX$hm8%=PJK_%3!6X-MbJhu6qBZC@coelS0@;&Ey~

bzMZ}=~ae*0aZBnSHt_PM1luUz|mO^#_ zk657Tp-l88PEz?!mC@vZF@u8`0-F}#v<$M27y^S8o1(Fg2s9=HG=;RzrUyP7rhA(X z38?ckyd`i_Kqp=P_YHKt6CyPWf;knjSrAIeD@w6&{!esJJ@Y!K4(Pq;8caZ+fwuX>GGO)TllXs`FHT#n%c}2tkdiG zpBGjn!@fi*v5^&mpLO5i1hwM*qrng4Ed+!$>Q-b?ZVyMnUanoq723-UrUX_)eDU{OO?x4{Be(a-?Z1{^ zyy->brO0hWePjc%zq^y2k-OXT_Y117_HM&J?%yp&c6%QqHV{w#86u|uL;X>i_bW%%)ug)cS~$2=LIoxhfCmEas_PQd zXy)M`33u`x1^k|J{!#jT`TMvQ4TA0}6ZW$ZAR&RpsH|==>^wdPrnP7$SN=Tt`Z%|d?obga5SE-v>PjNRSYBY16N9HvgOu^RaR zd0ZPN``80yj-<6~*enH8v|$}mE1GA$$||%QeMUMft&jJ%$q&kF*b=IpTP?cwohh+OLVn|f zMYA8A${y9UvieuJ;jX$wj<;eznzLQmpm`4q&hEylrBVuXCNXg!xW%R1Rdz}C`3w9+ z5rbF7@Y)LWxB=DLeW=4OqAVt89~evEC^1%u4TRkxo@2AR`ZMEZT6Qp&y|?uZAG`iR z5;LFsb>@%oNFzpNYa7u`xcmvKW5NU01eGlhQ(!wk3L0voaw#ia#KBP!vznmtaoLBh zOY!o}xE*<%zX3YE76+gk&pha8>wO;e?J`haA z4`;?RUGI1Qmd>sxo}fiyxZ6z6p>o8{mXMv9dlibF9>s)}*bmnGrF>sm$49FgkNf#z zWyYbb#vbNEGbmm8-!Z>?O;`9Y^C6Pf5y{T(LQBNQQO&U=#;t5v*Cm9mJEGZKj-vHE z-kg7lnGvgQyTq{gXm@lA6+8SnzYps}caQzXPZD)N3w+_0ad#p+ ztPeDGv&KRB;@)nm)@dnM%^u{Wl1V$*jRCy9SZRaL`E@wdsShzEAX=7xxG*;UT%2%n)e}l1&NMJqvLG%3#|J zM;v+uViM2+e=D~_%rH;2qF$v^XBq)fS%>hVRkDqI|L~7^6okVe(2C*R)!0zMF7zZ; z!L9|R&YT-eHxmNB)@!kHvTcSE84|2%GqiDz4t}j*g<6xenX_PjYI>6LP1w3D(eg6G zDJx;tDV1gP=tlQQjRhoSwH%xI1-Og9Ang;9U=7V|lbB+;7tiM=aIQaLCbmIn2ZJIg zH>!_k%*OsHAaNiap?BbR9R*$qV0XKe}HicECJp@BJyPn)Z2XsQiCd?Qo2@uWk7$pe^X(1(D zP`gW8MVE2M%XbmZ5i;PWZ4!7y&@whBh%0-^O`aMrhDhAn&)ch+QtbsT6MDOM@Rs;nduJq*Fr5xpT)yOz!BV~ZKhv${;Q99_eJ|BW&XucJ>? zN(%ih53=7O%EoGGn>J__hWJ34RL#DSuK^!HZ^+QI^7rq0B`2h3QN-k7LEkV_O9jdr5)MWi&>@UKfJX8G z(%DZQpqVIFOjFwYn*y9xraT8=)(d-~Z!jCUzXek+Bo1*&Eal)#lt6~)Yz+;OE-Q zA)M1f(3K1=*;}kLFr)&#{kN|sX{iv?7NfcyB)qM)Im*$Y3ygeOAVKBUw8z!PlIs;c zu(gaX=OCHEYdCQS2qKZF;wC`ljTUb>)W1f6Cpm2|aHZp=%NvKJK*f?Fcf+0I-lN;lZ%4R{+gJ;UunWRgSE_{O@wx}ve zPICY>HZ0eZ2n0*iN0U^K!~{^1=Jg84d3F#CZ+fmg1N3)IB5SRI{TVflP$a^!zk2o0 zb3g}}%jPxoCm8sx4YLxOO|fMplC2q%p~_)xSwPl-RlKZh$<)R-5hBS3bp(Oa+)kF4!1fZHn}D*kv5&26Ac;V7Oej7g2x0IfE6WsRE{@=PJpCgpz316%7*t*nmpK@*%?|% zXKyp^CoemX)x6)^hrS?}QE#7QLhtz6`jier$tCE6MC0aMvNUTO(~hn|E#28)6N$3u*f9GR0kZRFnHNZ{fw~lg_y;65`OK#{_Z(Fpe`;h^#fC}gBzum$ zecz8p*Tf1G;$@+&_%Z`~WYP>z$(9G?Vz0O-f7(G|mLt-eSobX>V(_V=Xan;Tp@WLH zIGeNrLC-~RW28iz*{P(9zO|Fv|mn+DOE6!?(pFD&S-38<1a^(PrWiaYs`&UgWe zH;M_KHtxh+d|y}Aq|EoBs**71#|{Vy6zR$C;d@Z^|Mrc0Y76cpJ7ZI1gAdP{B4_b} z`mN1{1H_bfhThe=zJ;LN+w1Jceev<_Gyc_Zzzfo<#$&1* zi^uzwHNHndfmK}N&pW!6+~4IG<%);#dzU=emyFP z&M|p#G>+|b)16BHLHo2%AP-N|LN!;EQ-s#%-?hQ=zT=7A6&$&xoP9;^D$DeU(P8}l z%I5qD=>k8%;=yATdGd$7a}qe=g{EF&z5+ikW6;F1!Y9Zt663xLZuU|lNfr2{I*6rR zO|6JUMGybzx&4tyjL)O?FpqoZysqL)PS#K7QulMOdijfFjQo)dI@aJI!K<_}wN&=Z z?$OTUmzXjVHIg72be;?Sn!Vtd@s1Qvs%J8ii&e3XIpJKmXALe6!&UG?=w4R+2II%Rd869wzyGl2!=N4DP)-3DU z!+Y1ev$}%A=6mUvqAw|hW%TWexrP3E58GQR>}H3_Qgqm%nv@p|2;YpvOUzZVa~ruw z%(a$I<;}<(MdBuweZw^;#SLH6Y*D;a_~OFYvoTO}Hblp%$$RI>+9B1yGYX2w9j&X~ zS<}vQulVOEGiV~8>-0N$eWz2HqJN`Ao|kJb(j(w+;7g*LDs0yw*$oPa3n?%~%;7x} z;lSB3ewbV>nI%n$KyI`8jwh*AYIt#Z+&7jr?H%4P&|9WiwsL~r41Od0AnDCB9OV+s zqjWY8h!zDZabB4sXUem5k4V7@GgWV=q9X9>Hy1u0*&z)!F33&Cvi|ME}XIq z;7`zhN%}3d_$HN(fLj{!kRn1r+H>IQ-UB#9b%gyEs=_Tvo~Q4pWlcOwVyDfp z&k~rSnd0l&HI|j#MB#`F;p;ebNZ6>ejdFpVSfwOh#2T2u`(mZ>6n!z)A{Yr?6A90< z)oqFhn+^66)!RfNGYs#YQ+9GFP2wO+-Ia8{z%)?1K+G)|n%Eu%6R4M>;YqvhoTmQ$ zb)Ao_zu!5D$2rGVM;>?}dd&L->5X*|fV}u`nyOzm*0TW2cDA?|y?^{SE+;tss1yD{ zC&Q$_G~&N}WvGIQGN>`XK~0OTCv+VAq9c!;sG9qlhi?5Ehb zPODZOSr^^_HwaQ)O-E#Z-~Elv>^FjdfeLwBw3^5Y^+z9M95M){>-}t8X%9ap?B!bu zCtiF~p5kVxkyk7gp}hP*DRp1Snq@h2GxoG2FY%hu?WqEdTB3>H~j`& z*1jFz(A!nJ=bz#wSng|E{-$;U0(3jRFMQNA4Zdgg3HMOBG@!Dv)SKNPt2)JOL*mFy z=gS)kZTG#oPFq|2FLv`qcQgHgd6tvFHlT&|P_^c5aB8Y@*RnrMm_0a{q#b76)_;&T z;aOj`N6yDR{Xb(=Z^^H{q-=K&Z|PPx$?ehB2HtT>fxvU@{z#kGj1P{^84c$Xp2(3Y zu9==u6!R?o$l>defCgbGfo2%Q#OY0C6tFua2^MCOAxmRy0`HrO6@L0jd=1+H`ca~| z1N11t`H7x?8{-J4mG24j1$yUBR+gQhUlNA>g1U00G>G1mp*;H!&1�JbCWyB% zlDha#Y*H>SxV1NXEHX_Ic!-~d_&1JWnyat5Rm{2WZT4}7WF~nZQH0fT6{bu#Pwetx zRYK-oZV-!Y#?Rq{w~{{k^lUv-#h3P$Z@k zt+F~gzq~3GCk6XIOQSsadoc2r2Er_`5KE^rPUlETZ+#8}QkGRX3=QKGm>B8;a-iMe z1#1E-=_V>YIYi|sb02d8osxT5P?~z1gxDiNZTO?3bxlpV-U1Ix8{?UDmi9h6fZcqU zYp@q(?SSf_!882%(|uXhGzx3L`y7{X^JSV9of5hQjQzoIw80e=F!2^;6*In3M?~YV zUFKhvS5Ud=qeLysH{_T({xkR>h|))K0=0`Rfc3OXyF+&9_%--*puJ3d^=; zW>P#M|C+j*xA9S1{h6{-zVL;vw>ya)zk(J;IO(;}Rm>hVQYJEo__I6TMtndvYG7u{xoakI%IaZrV{*0>mr94pe6xr7L zH~by+(>`v+$O-hKJ%KftLmoeMANA&T{Fs$1j2t6gldyx?_$#um9sRjdu&;pR z%N!XZTCUUzWJi%5oU%PL#XX%#e9(=No!R3@z0n@?E#n01A?i>zymi1=x9>g-j1bm{ zZQx7KxIrn(S=AQej%;)KUBzThQE%v>WI>%$oMglFJ`eN$$c*Hvm1@eR>c(F%_?)rO z{v`9RkV`x!4dQz%*N^7L_8jIOnfNs40mgY9N@i%p3=N56o9Jp15m}ro*1<$66M_s{ zjzCOJsD?ejsR1*lx*PIl38*Y&4L!9n8@M#WeP2kZ!Iql zd6XRjM~N#)5L%auF7D>@*f{NGwzLSEY`-YUMR-kj7}?N3?Bsjdpk9Q%{9fJ;!l*0_ z*p1Zj2v@3TL`mYFLiOOEMq4YAH5v<-h3R`l63fRm^m%#8g5De@F@mVMY7(yQP@GH^5yi#d`guIz6lqt_!$zLl3)5?MXG=SGM! z(S@%TOpNAowiVd{Dvg*xBV=Wx6qV&TS_!JmN6HVNNaGGW)b^~1)1oe{eH_(8oTC;G zBf3$dP?Mo~N&_}tv~3h$E1LxAW}B!FxFv#vIM)R}Iw~lH=u;RJQxseQn|iGR?m}F8 z$$UvBMufFeM{8i4)V=*SUys6J0=JgP5P3;Qi#_ZRcrh1+wYUs1PfGkkae_oJIy%D1 z4sA$FbHEcOY+3_^T=^ts#jpbe1O$~Gf*@)@v$5T#Z~M zt1G}2U91KaS8W`4rhy?xf*?55VzQ$GN2~~K6B)rL+cZR2k8A?F5sT>I)?(5KM)1Hz zniRc1U`&>QY6MZB!%8MUT@_!&4G{q=X1mdop~=<+u17d7j2BK(S~Nq9iIh8fn&7e+ zLDrB5YRq0)7Bggx=+IY*?HJqIOA>|(RwfkOp=cD7pJi-Xb}dh^0!AtX><{EfdM-+7 z)^e?0!X~reErA8$F~W3L>|kw@NBGreH&c~*6YRQEV4Y27Fua$>h#?N~BQ6byX|)+z z3OtpWG$Xu%1T`5PYz8nkJ>dfP4<-$Q(uhsNua!<{BeSH|W7n1VRTJ zFc?MHXNGT>dP&SgX_N!FWd4%HuM-ha9>(~U;GQ$r)x z9;OHE4)wCk1ZIBF9MB*{9l(}`vI$xm_9ikEYcb*wPz4MUcyx!XDi&lglsJrAI6ccC zGFgo2Z5l+jX*nA(>SPm!8NuBPqevik&yX}(oDPOmQX~JGAYi-*yq-KHCJfm`B1%S_ zMZllP^#)SWvxwr-G$K35z|DYDuu-KAXn~`F=2a9=&-??JM&Yn0z=IQN43df^h zO+}#XSat&M^vZiH2D3fH$u@Ki(TOE%+R&dg)O;;-+eci)e$KFqTtyh3-BvKcxWzVd zJ>P^L-_|ep0ho_0;!CvR8$cf;j)5pNJ=IzAu^zE$N#zLT>$Rs$?n~o4~ z$Q4<+f?OZj6?Q0b`2?-O-U|}jlmS^^Ma1frQN`Xz{Nz-01MT8Qh}~oy`(K&)PyCoC z28F!>H@dllScA368FLkG84neXD;go^ZLZyWWJVw##ybd-ec8$?r_Fnb?!I=`8^zp8 z-cf!1I=ABT%g%F{^9u;ANs9!dTCj9fIAg|wzAmP=d*fUU(R$<0#b^Ugl=sMF6;A$z z#MPp#m^oXKb>h$m^rh}GdbIplf5~q0W3C?uH_L91fMs`!YthkX*g;XVZU()yMuP3M z{`F-{hTj|Ux=P>JU?uElg`kd!4hp2mbvFilau~Fq;}{kN)7G-oFJ^Fyp%}OVR)6m# zvj}~GTMUCiT~>EDR?B8}L&&)R>6S$Tbm%CeELtIe=9mcRjC5mmZ6gm#?z`Eux+2AZ z`#@C^6WR)jx{X@e;>||)OC*jyul_{zh1%4AriBUZvT&P!=yMRpLCMbXz?$USpheG8a&C*3h`=d zeek64@8{$q$}pk)`$zHBtm6>Tj_2DCVlP4Ljty4hoy^nSD@!xI;)&n}Y{l>g6RrX5 zNp$Y)=;3Fv%>%>hxLxI0D;tZl9^RRC2x3s?Nzbe-LXEG3va{%zvp@~+6zj!7eo#Dy zZhkd1har@Oe;OP=vp9usZ+r*|Iy$ z9nMa3lFAuzcG9~@yx^CLV}$K2Z(xkWY&mB9)X>6x1->4tlI^^4rhHupJUJSvOUb#2 zWCU&L*2ajhihCjcG2`{RE=s4AC*!~I`Pxo~UIcMpQ&}!%93~6i!7#btbYImy&o(l} zUbNEj*YfvpFAlCD7`%?%AFHxddEy<7#TW6Uy|cHM3=Ibllow3K6QX@GIm?727w?(e z+Cb%E`_W3eW{L%YqEV=vWy3%2-?Nj8&M6bm8y=zm|@(py|{R?5kg*% zV7chC4NOOCZB|ZF+TRLW91uY~mjnz9&C-tg@?@lwou(aC9%HrLu;p{J#IF5gC% zGQTZ*?M??*tjR;i$%dv9a6CK<&Q$$iUD(!tzOqja)gut_S>#?~a8_G7v6JKy{C zFDmn#Z;*p7{3JOh4!YK1=nAP*)MO7<<9+B#-|dxzvCI3@0`m-xN5>1HAJMx#N5Dsj zmCTFHy12*uV{UMHIy$nm5fxwhmz~?TWc^Y(w&DJUhIW9n&Ab(Un@e)kka=f7k$Xd? z=S(k|eL?(YWE2*y*>7?U+?KdlG`?E6o9iM#*h&9PDwnF*`?-_(diGz6e@d0aa`EAp zBdeKhR&yy0#1SO4%&Y<1BF=$D2(#rv_VFz{2k4Uw7&KNlz0&$->{PtVXPK2m_kRSh z%h`+X6ZQ!sB-1HhDDsEF*Ma|I!iyI6;p7_2@$te791P@{j|!(LtHFH?JW6E3 zI6nPR;a+Ix?&qXXljlC6PBCz9^x#1ES;yV+4lL|-yNfl3Rrs&jy?9Qd`yYD|E*CZI z18u_`k_5LsniH`n=`C_}FEQSLP08DYdbdGm=C{xQCg5i}@iMlW$fdJlAWR4Myu}mX zb|ov*%UBvcSx>UYU)!Y-CET0;C=~@F^+2EU$=>y(k!j!BQ1HN*w zM;F3wUMy9EN%Bv-snwv={M>MGAJz;$o`(~UlNZoNSh_;sx>H~dzltmfg1%@|S>Qsl zDF)lC4N01G@Y@&*(8c1$O01H_Zm464og^0qwNjq13tE1Q)Ac-mTB>QLTU=jZ5-*r% zu#*TmvvL-tsu4^ry4ofx?}uaMp0VJeM+$~$ZKKq=#{fKlwxB~dzjD+WnD$m zN18Ermnh;Z%8RW=JS&J^gzc#JVWszO3*AkuF;|U%l~Cb`@Lt4XIf*BtuQ2-x#^V6X z`=wp8G!yyKIY;yL1)kpCvNid|=ta=c&+mopW1{q)d$u^VtFu?J9b9Kkqv1ZbZsa|A z*9TcsG;DS3+L9HjAl9&X>zm}mlh|*_{QXLayawPHV;cE0>X%pTx}P58_F`fpS_$qY z&_VV~euBGzgAsCAu|CpL0xbSKtv!8B@y%5*4ogN)i@A0_TO1z7nje|+Q>*hyr!=Uhu(fF9x z%Z0yB{0_&#xk&$G2az(8T#6T_bKa zpZ99201!Cx@>|E`X8=KGc7G|@-dK*Ng%YYr~J#tL{- zFDw9ge2T+-d{3WcHYxGdkrKW-3O2N4cOI0dbv!o{O4u|=;?pf z*UaDe3H3~0IEhnx_W2q)s^h4!{FcMu{-C1x`(MlV5YmWbEsYGbL1vv|oKoUcTcd@J zy9{IG#qKVp?D%MnQy*)MHp0%4GHE;|OrlAmws(i0#Lj+J(P$J6KBbc%k^ejHM3qpu z>*aTo5qWp)Eydy=!JM#FUo(!7mD)JsH|)h4aS|uGvhmGL z2Ql3NvX0M2|HORx)%244Tp=%G_%bYJr5K${w=v?M8R@_l&{~j*uV|wZ$-Mi^3+T#tbo8P(&Z(j>rMQs6#^% zc$>U!UsDAdxEG|)ua@~RDXP#?g_DaM1MS4Zh%kz^f({E<@1fBaSQdPWbsan}HOh(r zek=sNyiz)VR$+t~(Nk;1jxMu4GO=$f-BpbD!!|+gTODMT{IDDzr871C zJSMgI*JIMV=W3$ZD6I%j zD{*p>u_;;noD6uPtr_n=w#~M=5*vXpVanH=Y#*yY{H)!-z8| zBcb{TCN{JB=Zts;?098pP_~!@SsE`^x?t_3b=`i5DHSSso;%^czzy=6@CY4#o7zX$ z+_0~>neV3`5r%+s5tuQV{*&8A1j<4KG$BKPokWE#f=Em(>K z`TpUk$6h&>^u`{PA6y}`^&QhZwfRkDi;vklA?*up?E4G6B)LMYO=iWm|6B@h!`~Kj z(bJb!4dWeU$p^ZyDvbF>)*yJ+#DbyWLNvNUoOWX2a@5XT-xk8f#;4;%8`UP}GNYkW zvRf${ekWTv#C`dLjqPGP%@rJ>vqs6auph+hqE(8H+d*;#{?>L6Ve1ySCaO@T-|7aZ zFzbb4&v048mwTH>n81xzRuy7)Z9)w6<_R&@(A9RX& zog!lpw<@41%HGc%ZjghrmJxqc5J2_fCtymb6AoY;JQ%p1Kq|GB3>d)MuX#Hj5N zIQbzEsSEcjIz8E- zk!4PhG4?zcrt@_%DL__Gfqnvz5IjdjbW)>mnG^WO2|*vADLTf$zIcT|JM~Bp7S@FI zAw^@-FvS}`LjvIO)Fh1*tCI^?C~Fb$MPSmoD4j`Lsdq^_8NMn8!ajwSD&?Cw?trkL zKn&f4NYKHi5jJDHY~#me{SFq1u;A_2G|Ukqco=|b3LKWo8TuXIRsbVF-lho_u=AmDCQ~@EY+EWFz z&}(qO0Kydm`UeZV0Glt!uq7~G91IGhS6KDQ4EQ$uf-7%CR#U)K2Il^)9VCVbA~>`> zLfN43d>;lze>}Jo@iQ)7-_65K8lIIU1tPYA50s!z;t^1^h3@4=fwt)lI-5QqiV#;C zY!FBi)bl}p4BzQaz4wfs7 zuLWJ^5JTvUnCL+dfRkMbBBvurZZ~3TkT??f?ehxgj%16?D8SZ)e4|5e(#kz3!gC~N z)&}%QNdK=N-asKXFi_N1>L_>_`kXQ8GWww{pb$=lpqYq5C^CB{$xCawMhF6k5HKSI zv?0I3gG5*-!BBy?RXe2iA_M;`y2HUY_lt`LcUUs2x$ zX+MG#@n+!OO&a;~&p>H~4QNbSN}%}+ovPxD>;M(*9-)}Tm1^f;Ji=Gba+DV+)FuT=*7;YFz_#^|Ish76S|bTGoLfzk zCOs>KaXkUcAqcVLRSdERJS2k~Uk(GX2FjeF%#b8%fNi)42sokfBy_i{ zg~KSQj3|!23LFqmX>2+n1LW%_jL{(ig>ojHLI8eJf(gjIj#L7QzCgd0cR^4kaC(x# zjOGB$B#qGJqb}ktoF@?INCLL@V&D=5UX@`+Qu9a>Tvlr&8Cf-;BCQT#DHBvo9c{#< z0|g~UrEw|D3+PlN59kR&g(@*9z$oe*S_5PS!x78Cn5R9bJH97o52P>^BQ4`a@8Pq&SHwepCjF3SY75%VF6o!tPlBg=*_X zsa2pyj=rY_lSr~bs;uB%7Aj*+k278@+-zZqOG>kt zOsr&5uN;VXv(*QA`@8(YD+7Z2$~)k$VE!XfVoqjO2sM8vdK_!WY^H1eC3f*MY2J~= z{Y+~z5%KVa(!vWr`$k{D0lvzBN-$IE5R=dfsi*@$gO*{Wc=g7MaATed(*QB47j^() zFu8Pq-$&>p^dKO+UpJ>RF%=>W6E-zSN?E>$eWGhf@DA&O>cVr92c#+n^Ei z$Ccaj4_*7a@W|qM$hw%kCPC9QnL5l6LiL(E^V#rwO|E)z`Ht*md1}TcwU$sjvzAm( z|JgD0dgh;`eI^5%sg{N;|Mc?bOPl`7^2~+aqM;&-z4XWS+&}04+43*YSBlk=3*RDX zX!pW{3%9QwmDFG^@0q){FVBN5boKC1^Jg%2S}glYPU`;r&+^y5U-8aDRjxVD*U_eS z%3^ufJaFwrp7N*HW?Z?VNkBFPde=jxy?<@y_VxAGW~f)T`L4m>1g!)xq+xs(>vV1Z zEi`p`J0Cx%Szh$Qh-{(&lIF*06ZJ=;&A)9uc_dgIu>AK@#fXU;s7o@iyXiG*2VPF1Pzb{eVdA4y)-{<`d6#d^aDI; z=2Bw=6g zB-Thz{P~iSuOt@+L`%|(U;vZP!h;L97e0P8SiCThh?<1+)NUUnm7xf^$nt{8oBdZHL}EeABW_BtqWw_z+|NP$mQqDmwjhps!`eJ`LPEw z0kh7+gA4F*&Ct)|*78;qgA?gx$0Alk;wr&heC#`o{ClSs-&>kc2VET+5)w=Ee^0vc z)ilhIIzYfGzyQJ2vAk)^i@NXE%k$=W4X6wBgN<7<(2Hat%{!3wac&yIkm?zAEHdU1 zhEy4~KXRh^*U~ginjc%0qA%bkw*+d^jQAo={dOyc-uWu+L}r8XQcYK@e$7ksyk}bK z(fLo;UGwOb3w2qhl1G)VTm;W_4_?XJV@X>jM4fVAc+9XANdv9R3cRmoS=LpYF>~q? zME(1tdoJO7Kiix3OYFy1VL{ECq6Eo&T^wzufV!X-Y~F zh%1FanPh6RoqukTY%V62y+8EgQ)wh8YBvGBTtLqh>0CnAzf&)k9!o=yuFy^Zg!xed zvB^>rcV+07pyp!E@)m3ZxqF%e_>C0xgwBOYU=adRPyYV?xLlopjx^iy0)*?@-ElxxmxUEN^Nv%{hMmNN@^D0 z{6i?|m*wM&MJ-7{ErAt-C7?R|OY(nT^73GMf(+tIXg4r4YS%9BvE{{8WNMaY&SMfR z1{OL>1-+{S|J{1g;9mZLl&k~r=nDU-g6U$bzY_?2c}p}+>1uW9#Z>{Ujkv2*!4=UE zv}d7lYGQGwxLVo^WobpcYNx2{3@H8&6(Y{2v1Ol}=cLpX zQEH?CLDio8^56b#nj6qrP$?)a)oZZcSVZ#ggf|~=NQ0H? z&_ZM9Q3J+ag{#GJ`A*a44?az^wgE986ix$`R9Upeg6A9g`#WRk?I+T(vkTG#B*lwe zt!A1oTgbXRo^Cz@)4mj=sKWfx)!V{b%g=#Z?pvOzQrvm8Ns$w{LavVMcj~)G(y)kt z-N4t(Q#2Uo6qR4BgZjbW^SAiSLSpEru)(&+jF;I{Zo z-X7gz`f377RCyUPwT@NOS8x5^)Vp^`Y3>2$0l=gMoze&O|W!Z&p(338JZO#^=G4`DZk ze5ccljk+dL2LZ?f4K1CQyrrt6Qluq+3q)dhRe$!oG);w>6=r@FcvMJSy2e;q=(p0# z${t#mmPJwr7^WC?mlh`PB~<)dbzWNh^1lAWo@TyKNicAO>h2X(AO0_at7X+&%n&xG zA(Mc2W|eNJJqPu?Do{whma5P4N?m>slwXz|P>R}*FyPm)s8H2!9n0PvI=Wf2EEBp; zoyDMSX00mmxH`Qq?Z6Z{y3&Q|WDK{Yp*C;m|{$ zrs3PvYJ)9(s&oMVYw;&Vp3=~$Uz|y^URB!(F>zp#rdANHt{I8>HPO|j%VPDS#c9Sl zB?eW2MnxpGV;7D^VgZmee=Miq2hvGc)rEAbh5&ymvv#$}tG%W!vKIfYu7ei7jSQWA zHO(rQPVvs_A__((#X~QS{nDXNfyP4li%%?;jnw05$_5|>7+33_0#%g}TymtY(iAnc z=l%5K0|*0E1jc2)l}n0J!51!5*swHPP2W|N2C0EhN%3sJ3Ic#GSxq4eldMXjQb(FW zpZiMp?XSScp&%$0CJJ?$xZ19Txks)0()2a(l`8JCbYXe1fRyu61GSAy$odinbLr|S z%}hD;+RilO7|eb5=3VJl-+*c=>jUh*y3$$fr7N?|!nSN0_(mQ(lBRO=Y;Fp*Q!=O}=(yO0 zDb$(=?j@MDSkHHFUA>sTnovmu5MXsl19QWY4x9%Yfc-^e{1%~4mGO^1xmwg>3dR?B zG_|aG+*`b%s&S-7MTM^=x%0`5xMo?NiVRSiI(wy<45;g3U&6!cG^xsZ(~R-s>(Zby ztZh_w6lMT8!OK_QyV6R4`P8BpShiF}zf~cd)%>j-xKsfR2oHb={Ayun7fZcDdVp13 zm~)_CmN)$JkN?~9QlaH4ECNj8TWDiZyF?7e?n8|RrX zDyd4VX1jZsmq8w62Wy4_M&g$tBqcxEWrhJpLNf|`x?(O-$BLpO7 z_iWGo_s$5-AZcdadFFY)&-Zzr_XowLk5s1A(Pw_Uo}Hyun<5Z3D>%x&`Q@vnglVG( z6##zn5miY2>b-r&YT;J)=vkpSR9es`dSv=5yYk=-*RoNKf-)6+`ummbFDm|VWq)Od z(rIdP?6TUVZROF^kdW>D*$7ONO)E#Gci#J(x6`|8wrQS0PYk`GQG%6kX*IAvfL@@W z>pZ42KU|lw*?2|?D+FiX@+$DaQ>8bJ<}x^)t-vdnTi$v3nHzm^rj)-zi2YVf==uVA ziNE3_C(vh^zJezRXVJOZt_@f}!CIeM`>J1!RmST3h)?=D+qSE&mB;v#ZF~WrXE0<$ zD7q+23jqxHLKm0RJtjyFzu2V+?V^&~E*6Li8g5sO2&MV+!Yggh2*I(j=Uz+Db#nQA z(yTP=$Lp>BO0)W;sl48$Qsuyej*5aA>e_ZcBb3bD{~1DZ%Si4i!i*69*%XNA_WG1n;fvWAZ-CS(iJpNQ3}OvZTO=&@xf z3e{#%n&MB0Qb*WWispCgQ>4g#dE0oDagYp>UgGm{EmAMR$b>)Eew_SqvMyPt(X~Ol zVzTrtwl%gDJ0BX}M={FkBg4dN$TrWK7K#O_Xj+g`3l(xu5M0MFeP3$D%!q~RHYNRU zp<1l$ZWl@gQ4oaP;b+>z&n$%JwRx>g8xn`a5_1S^|7r7rTCau7<;AT8#r*vFrkk88 zS(lMQhA+^Ixl;WK;qqF>%sg$!SYFsOXho6(g9C$RBuQU6_IkKIbYvg<3fVVO&Q`bb zIRxVh70IZzxk4w_aumc~B;go_!@)3BmxN0~OtCjJN^xq3ofj_&&=qk>!M%=0ey*n0 z--%{}g4yb~CK?p%Q=x60X^31^XX+!{)Or=Szvk5*Hl7is(*7GbF7*(KTKS?`X)kwC zy29Z(?7&0VA)O$av~OUaiN6789(0hyI$F<#^jY<1?N>~1(JXq~6_dbT4DPWLl^M#8 zM^Ho+9g9nlpv=%5rM6AP%id7kDG>9P6#6J?^XK#F1Gqg=eS4xlSbe*F6{-3)bJUbE z0Lir(v$j2@JXC{@)dmxaP!gT<^U(B^vUiewVdRC&+M%A=& zMT(oc@nfsbI%|u$dNOtj>#OXu*$wg)HuhNPl=sSrHwW5Q2#7jG08&LdMw|^85V$RB z2s`M4w9#{g0t04n!yg2uh8M(&wo;*j-r5+1T>V<2+^i4QOH|e_FB`U+L&jm~dB$anN_XHwO3Jawx!4ffk2>0~&(^*goo$~L zb!HkzLb>u>djx2BL0ZO!A-Mch!nSs&6dfX_YA#~JMxaV~ti4cNBj-isyct_O@W)O z-W57(9=R5amh#eVEP0EcgLV}$3Za++Bm}U~1&~6O8Kc?yDF&uip+Zq=JC%#5sm-XA zqR`n!CB3ZA^%9k{iOqA>ZCdsCK)up!Id1zJr3h5qG1 znOlrRp4McYfkIPtPTJ~h3wpFcJI8WEhYLq{&8o8ku=^NOzO zIhXOh<~!wMd=$Wgc{f7LmFPNKy;jUeVRmxNBa5L$zVh%xa?xHwrb#YTxqyf9lL8k3 zYqp^MsCq$)9S+-SP+OA=VVQ0%w=I8n7rn1#Jx+nfYvx2^w*q*Yt~cK>mFi{TLX(Xs zN*XCNS8R<~G#77;pPcd~%tYP}BO&TKMi`)CT~XqiepP*<5ES?=0YbdZUm>p|H|Uj_(65I~jCk#H3tY+LBw zrsQ1|Fv3cKSS%D`ICY?(jl_`d`9ukXTw(@)4pV0h&4d!k2!?G$VZ$h|A_QS+mcG!t zEaL#o2SaZ6J=-Wj$a*}Xn|ttl>TC&9`Az~F4k!BRLUnSze$*@twY1kWIV6V!rhsX1 z1t|KaXdSTER)tklM-5)5VyN@t0)V(^L0HHa^Mx_vxk->Z&^j~q{+jukxv0ICSk%U? z@HN$Ji0o5SWJyyrhs{c$z_-??WDH#dl;-%n;qw^$cZUHD-Y)fe1}Fpr8&+iu{hiZJ znQwP|2-ehbjb*nGF3>1oSbDgG&|x7ZWeUQ!T3`UZ6vLTO0%|#Q7+U-r@tJl?0mm?i z3|*gDpyVhVT?h-WC7RU!ajjkh#n@^ius@+X6k&IwRuCGl{izKMJT+E@Okmg-@0krY z22X%M6TsPaD@sv;>TycS(v_H5CpU!l#TI1gZA|7n>?NR}ML>pt&L~vKX^xY zhqUQ)5nI9{IGIIE&V52&OyIS~G}dVEP>CzKfsQV;G21a2!8Ur{Imr$r56gO0Xq zMxF8^dQ_Xq$jKyx4G5;1taOV2q5P#ser;>=FbM!H81kBL7S>LOhte_z2Hjf;0iRuE zZhhX;$W+W)G+|W#&J-ODS_0bKZ^MNWMt|;O&}BjR ze}71Y46F#itIB0|Nb&u=4nIZXT8!;h&pxwq;B4hUN|6`k4tb<5bhsjR)ZB^*Gng0( zyO%5xULS!2-g03KF+p>{U6lenYnx=H@ex|K7e*&5wd~U3Mbv5e8_9U)~ zi8`$wL$TLI>LJ=`DqCBX6{^l-?NT^8ee`TE44w$Tin97CpIM-n>M{U1k+EY8b_C7| zY@V&jFiF(VfwMJeubP16{%h>W5NX{?iiU}AFXjy_#qEn*gnUYo=>nri#f)hPQtVjM zx=$;(w3tx+`NG%Q@RO7`)eb-EMev01JK^#12$x81Ra5d!q46V2a2x%wEfw_p*T*vUeC6aGgBX1?v6TN*u9(!El)( z=is)=a6NKHm1fypKAYz-R!S30lBCQMlcIx2K z*EA*Y+mxai`Zf>2ZlfHe|A+}JrYm>aTvX=aFZE0NOi=_Nrw{^VMXz>=+PvuWLpSTr z9707aVqTJB-^nTNqzsos>%R$)*?uPK{Ioo}%f(kA>8^?t1F;p=DDx9`-nxcr%z%UTBgOZ+pYT=--a(#bEV!K*D z)}U|;8f6gbz8SFyWSQ1TnDGR}X&BS5|LR7Lau=^M&+Bq0`3BixKx-2wA2(6Xo!0*3 zel7aA)n&o#KwA-R8G<+0pj`trw7$w-gZs(W0Lr{0IEz?7V{ul+6&CKIa9W}69VlC=NO@a+Db?ZJTk#-X1VA9_(xzGYz_kYL9qk(lw#$sEkzc4! zsasVU#aFe|jqJwrX%i0qA2)D^C{V!a&A|{15=6O{5V1gLPT67bdEumm7#Bia=&x_J zsFb|HfOBU+M^@asCc!RpsR%1V zEj4N(paO_dDjJ3{ZU83$7a{^(0P5!&l%K1+l{dkX-+&uZr&iM{i1Hs(6}U`rP6zJn zb5v064K5!Z~FL(K^LJ6FP|6f>}>m3seJGdpjn0T(- z91{EA0Xq9C5b3H(MrGj?D^kg9!F z%RtX%4S^cf2rY(M<@({Rv4+q!(>vs?3*=2BM&ZQdIs|Pd3`9XiKV|fS1I0vf9eyx! z0BVdXH9*xAqXgB`KPmQ~H(x{y3o(`O?@Y5U+<+^n5otjiRU?olRf(`@J0N39u&w-i z9v_VbF$xCIs!t~U1&2-JQM?yFVzehEs7P`G7>jbuSN<0Oop`cS7Q}lM1T5bHzKQW)H)eDhL)LWSFXsZN+WHsDL;u zXaNKhFe?*@-z5^kcTi`3mk{eMxqot|BZ5ePZ2>W&ni~}m*0tfke~KfZ_$m4GJ$rp4 zz84Vec6&U#J=nxxu)jY)}VYDCS9+P2`_zYlRfFTzJn`WfkKd3Xb z8pBTk`e66y3qGGpWlkeS7lkf_g_dlY2L(U@HP}ODiC8}dij*+=lXe9hQX`jC!~_%= zLR3O6m;m6FoVfWt)1Cvk|cR0vrd}!jyBe%=3ZSX$A_u5O(_$BG3x(_8geJ4RDA9h?muI z;Td2wjRa6eR4u|wrN5^x2}}$Pa=vg&Hv~l2qB$^oBu#=RI=QAG*fOVaK2LNXtV@^m zI4n$b#vF?EgKi>{Z?atf-dHEgjzBRmVP3{G>Y*Jzfp*}j(I^WN5VRI9JE`=NaNYdW z+r;u5jo4FD0%$`7Ak?9}rR+1C)zHag{}0R=Kn7e7T5sJ#TTq-gZoA-*GXd~T9x_EF zb06uT70@){eDqq@=l~UH2%#@*2aHB=8fF}+RV*@X`xDctS{Tmx+e1_v{BOXyJlou^$0VPEkev=u6SsprsJ`JIF?*G&{PWyraDnzTJH8 znhAQY{oYiQ5Im3>5oDO7geo8{H9vjxzxt6IfS+pf(MF@#H!uZ)LGvOBvDbH_MI#s~ zpSnZKF}(}0+T%J+Th8Y1W4}fS7%bS~6s><0CqVD$BGIG*G=*Moc|ih24tcu>DeF>FQxysJ1SHogd_xpG(6W^+LdxcR-W( zQ%{esP=`r#6toAaCK_nj%04_=I9eBaNPdr??u{|IOp|a3k9LhdqxPY&4qFe_=tKaa z7W_NWcDjw`K*nAa5y5KH@HQVl55UNeneSmnymvLA1;q+1FxQ~>kZBwF9!;taL!flc zoi%0+8TmcDwD66XRBbdB-An~4)T3}vkw~C%!#ciDKS~~$@H%0&3K2C#^bG`PHO&Xa z2)ZPU6ZX-#P^uQv7z@upvT& zv(DKW)>fWkhqxcoF7q8nd`O46Hjr+jvL3Kw^tP~{jD@BUlMOw~J$m>tDf5vt9LVGOoi zpKQ=B%!HQZPMLBTfpCDdaB~}tyCA!Y7c#5`dfsk5FRXzlc}{4azErp~9r84hS@rE> zk>GOS=(>$=lmw5298dn0Jch-NMr*x z5)+A$gj}sv%+w=!Q!6enrkH?`qsG$X6SkO9Z|1PJ@>I}F3xb`&c>ILIaqz4mXPQKX zn2|so4V^O2v53!3#UgKaJV_qHT<<*35wurWrWDlVQJV*gGKLbTjk6s>12qVWW7O=8 zfog_30X!l$iK_{*LHoJ7lrZa*noH`nnVC0c-cTDN3+hL~g>ni=cd7g#J9J1{9G?p| zLGyY@iR3{VP0yJo0?IUhij|*V#_CGxjy4uw?|p^f<%O2wW~T5Ogu< z8-zQCQftdRD8+`T)2sjmY{*DwO&7uO&zsL6WPyS3DD|1aTN+?M^TiJQeCfY@)fOqC2WM_jWv%Tl#xn5AtGnXI>$T=F)xHVLJj;rvUJ!Wxgh&-OxWIu z6uT&{2%9Q0giU!z_o~Ca;&_92(0z&_r6ScV@a5%n)S{iMjzIM4f@V#cPtDXP`_lUzb;FjMiJ%CRMUV#LKCTI8ld>JbUMloZUC@PnNL!_490B!Ox0lsA7v48 zDsqClzzfVnGRoF%TfP0z6BK`~dV@0~ezZ+NlYoW%c3aYRn}Ep#64nyVKPi?cqU`CJ zN>!;_&7q=3j!~s1xh`NeSh5Wp#Wv%ZrBperg=ydj6EeX5t+dHN_0y(eq4?-%|D1O2 zFmgJ0@m#LcOF&|1i;UDlg-qG~TKgxu9kxS}mMEG4AUFLEa?^{N(4s~z%z${NB}$o` z34wwk|CO4SD8jQj;kAWj%T>Z&d;Ca8gbtkw>+u4JSk$npf<5Z{C>CubH_s8Wr4)gihPW=2A~oAbT)PE)~^TTBw%lrDiX=|b+;t*Bfdh#@iX1c}l}`IX{*gEu1-z}Di#^Oe zJX*{?O2C$&)msoAaZ#@i96Gg7>Q4#Cnna16G2shUv=As1(eUCGC5;#2+i`-+$xY7RuHM{YN&P}Vsqnt zcs&#X)8@RwtbmZ-cAD;^%!j6S=>o5cGSIYA)0m0_T21f7ZqP#W)o1}Qk)X+HfO2#} zrCPmDZC}=ESWPr{LfrJ-&s|I>t07e}$CpTWcgJD(QpAF?3R|S9Bp(&%+nW9)TW>eD z2+ig<3O<@dMJU`(Rs)OE0<{$yB29;tsa&XF5cEO4m-@kYR@d+%_7}l`7LoO(6legA zhSF_@U?-`CZxeoaC6!0DWr-(Q~tgv|=gUb#25bXsv5S zzz%naJQ;G_(AU*gEOuf9VYu~_7A_QRAo7YZfp&UBNw})f1#M27f}a!_X8Yfk zt{8_O9VuqfhD<;c2KcN+{bMy|-cC~0iSQ3Onum=b;^#V-$ z4T>7!bVz(T$ejL{dfqfpE+W9*iNkS2(Db_S%BtBdBEw6jSlvlWqpI~Y;ddjaSIC|n zQH5EAAuH)$uPcrNji6~`VQlS&vL9~@yUzc6{;zc!?4xZux=^@iM{FMLtk*g0;~(93 z>lZ)%k;731(?gqByU>3k)yH+Uh4pH+Pw!oS{NGGp{~7HvVz*eg8~)~@nK80KuJrHd zm;c5q{gajLng8vHb$X@Ha3s!(4nC>#pw+S6t~_;E)xU9a9JEdo>HmCo#koMAx9fB=O-Tulh>DI% zE3NVA3i$+h+Td-uH}w6c2|=BH8uEQaGik;X&s_na+V89Z(~h$O5@5$ z)$Mw56@7la0pV!xABYz{Vj!n=YGYbRtJ{D6=DJ8qH6Qm(p>&;&DXWJs@0~e*d_6(Z zn?c8Xdc)|pVfVDN4_LX$m7VL(xIBl#M?X)A481d!W#_^@t`zT&sz3ML_P|F^P5+|^ zV82l4^rcqOY31AHi>H5#e^<0)<(%(b`1;EJ+8rJb2EVpD5?%jL*Z$Jpulnr0*L{W$ z0GnvTjLlm7Snr3+pS}0kFW31t1qLbH#B)k78K|E2vVQr_WZl0`FRxUcq3z99_Er_= zkCNP*zi_5Yvbs$@TN{^`t33sPl>+`zkABlf^gbn9X3l1wD`KO1Q?BulKX%&)$2xVV!T6wbixU3km5eLkjrKb9h=tR`$utXO`Ta|n^sQx!`s8ra~OuXE7K(dnHt=YF+L0qJwG zPXjToF+S;o*4cjP;dQLo@_nKz+RNIGpXs|?-ClRn?#~^(?z6O^q0C}gK(y=YiXNsV zntmXwLR?1}zdXE7pZD|wr}9gmg|gXnQPSV9o;m%iReP8It>XuGd~Rib?GD8elo^n} zQu4K5e{BEHpIt{q@f%9ua6C!`5q#{Jb^bkbpn9EOE!PaR0|Q#GQi2q8Q1Y?Pnefs7 zKMMI;x%@k$kmG0Y)7FFRwI{Im-+OZ1K@0&s6xKi||0BwBdE&bBu2dSkw{l8xZJV=l zzCWtkeDlG7r`piEzFald*2_Pt)?E19o!8%k?Xgm%Uq*~I9gSBpB)xat;qUGL$#tL6 z4^Uic(BWFH*6?+InEG&2<@(+k=#Tw`=vdeP)8(x@)*(J=0m1RKAs2VDrt|$rz-F0a zu3-u*mpr-2xw5~qv;1(OK52u4-G9qauD#NVdaRu4y?^=j%Kqw33PR|p6RD#CovYGZ z0h08$so$&~y}WnkyT{i>IXl9Ik^nNVJ7%2^ekiOnfG2)un+y89|3j7N%+$r}&z-sx zI&eVhhS#uk+f7^hcD)+Bm%Z)!Q>M8Dys;JOt$8--uRkife@lShuU>}&uGZ|TWq1E0 zL(hGTCjC#I{*}-=w*a$|B9^H5*WdPa2Xt5H*L_Ampo0g{$4tX-|AEuISN7l5IZdkm zr}zMredRo9T)1AN?UOw9`K;?twk#Svm$c-tyQ)2ZoaD0p!O?B7CMLTUBpCzHg>+d$ z>2?Z1x1v>PoLkfNv#w*Z;}KJpTHYAoMAPZmahkC0@Pn#PlTpsm<2Ow-rx)U6(|-Ewf>{LLpEeoU%)jD!SthO3AXk2Bk?V`8nLpm&#} zNO(|lMAU(Dt=n`(bh%|_r&dK+#lsmnY@fT@S#(6~enLV|LqCuCNgeXJmRe;{>&7IT zgkY*UvBSZYa1UZeJaa^KIJ!U4>vnYOCmHkPZO>qyz@6y0#z7DPS5ZvpcA5Bvs~gjQ zS`IX4bLb@H8dzp@+>*Op%P|tnx+b`L*p$La-7dc~Dwdg~%8i?IXqeG0gHBL}g`gK7 z7Rf|QADr3pbVGK~R`hhhWOXJagJrAfr(Bx&Wlxo>%9YY{l)+v&`tO;s+)eIKyLw1f zS`@DPgxt+ew*}CwsazT`luF4gK~fzlb^jt#S602L45`%#)+hF6O>z~!0#jG;SKDOh zrltP`O+~HXc`-C$3h|Nh`aXFm=5N(PPBiuI8|HGf+9S&GF72XGq4W|=ov52ZG?OU5 zeXK7s8jG}Q)uDE@S#b=MaR+3jwo=mvL{__Y>Zw$5|Ciq{+_^}Ph3xFbf_PGUc*l%x zL~?XX&Wuk&lU5cfwI}+W_6|$myIt0MIs453sR-80h;c!>xm{t-8V^Y|6QhS4hlJhn zj?6LL&$$bXsP5{>pYJoJdFE|pU_vv0H?8(9vOOKjceug!l4Mj)2J3|Rl9{2lv4%}Q z5`1!d;E21v_kwGG&&H7TGIu0-K-0$#XufNePn|E5`mS+{!at5~HowIRhxmr1QQ0!k zX~wiE;m6jF$|9NUXiVm83f`vmTvKO)*Hp~QnqT3|FFGe$wd$nltXD_trJ~yk{EBCf zl+T)`Oa6%{s+EB#nvKStC-W6QYSAG7Ml0%DST zX3~7cnlh>?t*S&&m58-v)a>gp`+e4rxPf!r0i&j)q>eW($R10re$88m zojHfWS;ZVHaKi3Ieca4!8$rgqwlxy&V6FQ`x!%MhY@bUKBHPBqP3DVCbb&v6IY|nb zLC$(|QgsG9B&A9Wpz(w|=pu5gJs2-4o2HhR1oo?p0 zN;yg>F=ZaVOX{qdl%1W~W3u`nSJ6=~9&fMBIp;W(Ea~lIf41p{P*>X}Gq2t3nX|+z ztahkKF`u2%f?w6gdj?}w;zIKn8<~mqvWyj4sK%7+r-WX0S{;D-n6Z2(_1=-D4Ll(? zKf!v3t^K#4>J_!h2UR_J=gZuPx9?|$ej5q>wYpV$sVkJ!4X^0Vc7+*dR!kyA+>F6& z(i2ARm>Ko-dcvY-i=6L?R%qSQjRwc;5vLd<({+eHGA3=3M)j;=Q}at^rFBTjU`DE| zD`lmixTr%q>B(x#k4T>I>qZveEWgZ->KiL>%Wg)SqFK{jr!!TUaQY(WNmv^qW{qnL zXY4c`hk_YR%(jKE#DX(*Cm!k4WU)(rccxMK4zCU@C^L^+0e9&2vEp`d=;SsssQQvx zQH!y=tR_>H9*XRi+oMq`%MHENOOaFTra5jTnSZ+PDr5ca)XTkH@rzUJlx7YT&6*3A zwrN_c7*ux8U6|)uYmT&cyna!Biez0?Ctffa{c?#~NH(iejay0Ns%~VSKI^kY5m&i$2~W0X80%Z0k{YuxS4hyN+>ajwYVJPl;I3)p=lR6AXO-EecGE#M|?#oq8mavH(7abdk#F$<~5O~*~ z<8zFplU`Bx8m@tI*?L(UE*s$FDC&u;O@GU9ZJ*YnGRJ)(7m8Kr9AtN_sICmp$`U#J2Wi$?CqnprYcJgOUvrl!u zN1ptZD_O;|nn%sBh&#uUSUKOVZRdS%(P6Q->Q2d_Vd8H|KE`#sShGkLJkeIxfPwY% zoY~G6eL5#}Ch1VB43m%;+mfNqWx8{3>5?UYBU9j(*~?9J&0M z{Fd*}95ep1TF}sGUX=vPp$lP4#c)m@2N#4@*I11(jtyqM#0o876uQGikeTUri=$gG zNiAdT(I*lq{Aqz-4fO^oQ`Z!a~&GlB5v2m`%Gu~nGuJdRbX{h{Y>5cpTPBb zr{YMqgE0(DO9q08`7Vd!nP$1l*@MYmkD1-BfGUhB85ZZvHaWeF$?x!ya9OsIDN5jt zXnkH3omQ%%uLBwsr)gFRnyb~zL>aeibKGgp>f#Ju7GD&E=^91;t2Ic}FLt#w+4N=RjhBtZq9>1!2Ft07|aJ-2*#WQBqw=}0ngziOk zEZRYBvWcn9{Vf~@F=6vSd-xHl#$YOxCb64w@3CB2$^ZMOpLY06@Wt(#qkCAX5?qX^ zI|Ql90~ev)3~`F9TTbv&KUg9zqlvw)Lk5yutr8KlR9B7S)HqcYAss`*gwHbH+^xI2 zT^UZ}WxgpU=4VZcjLbV7oY{0{lsLrqp4jbR_GC(pxJ<^4;0%~a9Ar2{z%0H}rM6uf z9L3D#y36qhR1t#CaAq2;OVM?Q%xi|o_k{bWI?iv*`T9C^{Tc|mo@d*c}nCH6PekT-7{pGLR^ak(-0(H!w zlO@ATV7gVw*<-pLJSGr#K5?Vwr)TF5>5e9cR-Ns{h1i}ZuFaumnqk2sIYd8E+>}od zL8;>7Vuq)xNOqf!>M~9|^M~VqBFehzs2WuqXZW2=pxqELM=yE?uu`)rV(s?mM#d}) zonTmp?)NAnNHpkw)v)4VG|pn-X$}aUA$4bqmE~4ZAq3shjVjDa@8YYbr3_1TUvP>J zBJPyG`J1f+QVVZd4tNT1Bot@Qj3DtQZ^Ww%kE7dD^_eX!zEg-JDf*jn5s%a(aMN0q zV2YqNN`_mhaw>v`I|hgK@tlYClVQn^XEZHYg5SgUm>yv66t|t(vQ_5Q;>cUA?yq{@ zbw>1NwouA06U?AT@T<=mn5R2wnS<+cd0iF}+I)vz27Gi}$G0&5;XaoB7Ch6L(IlL$&!*xwJ97t^X$Vco#p= z&IL9-%MNj&NA!oIeZ#%x{l;EFpW)?$!bNkzXkw2~oUD{Wbjs;X+rSJ zeSiBcJDKBGdNVU_Az1?J(MgX{E**#$usMRD`vs4ob6Z5g9MS3+Jy(`CmCuywxAM6a z(d?0v$|>c5wsTbB^(Ze^Hf>S*ZeR-7JxzL-R~J8B+tbX#zLUY$eGAL-SKtdnwsma&24!TOM5=!%<%AV>8m;f zzDgtc9+4-0Ck_?5Rnb+&imJxCE8z}1j!BH81eK?xjOh z)7Dol??q<8dVkbg*e33`dY)~|ZnutGG5O|7OUpiepw*}Ko!0qLd7~C^W}oiGMen_; zE72zPi}!Dq+NG`Pm&Q7EX;ir>ykXoZ(Xu*qVbN%cZR+%M%~CO0QK$U1?)yc1dFxAwQDaM}l)Wr?_Qzhr=2@m^!E3$Ew6eJ|_9(MW54Wfd z%pALz$JNexuZ6AFO-qvAcVGK9{w42d|6ATAK`*x6}?fmmk8sX6R#=J z2WsMGsoFv|&70T4W}ZB3VK(o1??m`=_;T}7J0G~Lv^4)6895T**r)nSnGachDqhMQ zm-|NhA0xlblAom8rM%Yfn9o0XKNI5)jMm>@uRcE-uV$Ks!qJAkLinD>&8({2!=Oiv zw~5ILI5#y-dR<>`-CS{!>F<WlN-IHss=JA6DsfMtPn|Qg`6)czaAQ8Lz z$Ao&JKO6Lvk(jFr(TObH!Fcc3FZ-NvIfF488T1GD4fDB8mamL2Gy)c+I#z5rPWYA> zrslpeaU)}X*UDRBvXt*i+iR3Oqw#K&+sWRN>#1d2J6SXV-)ZJ`8Vb)g32bJU(exmj z$u=olTKij+P33`pPq;i_)pV&h@HNd5akQGzCX7>Trsm3F_OnCAuB9^O+pG~<;`yh& zk#cVa+*Y-xg2)-2gk1dwD?a+IFEC7A(>;whaWA3!Q|zy~zE&pd^7F&K&+}PVdNAzF zy-$9}vP|=URmy#x`HQ^36`^c{zF~am@MDc-SsNp7n9Yrwi~jZE;ZnIN_MOoovyN;F zAOC^j*<5k;Y=5B@J9zr)lu`JkcU+x5I_$k7D@qPS=y6-K$mXJVBbU9*l_{lEy_U~Q z-^QRv4P>dc?T05v`!~(s`pVf!L%R|vd|JA!bjUv*>$x^E{H42^UKk|BWw(FO7d{Ys z>@HM^r%zrzZ#>q7O7Zl`&uI^^m#kr~LGDtQ!oGN&EOTtlZOI2%_lb+OP9|@HI5l){ z$!g4ff%EOf<0!QHJNa45k~&5PEq()MzHD4!vTm~82peAlh~1ei?P$C^{CN1CP@_7S z9P}AqmS0Nj>z5|wtI7f0csjOlT8d|XITV&Y9A>u3wZex?)|c3#li6AC%Tu*WQSTSz z&Ft^bTaR@t<>meLxr354*?P6UZ_wypl3PnhT7>?kfb>#%a^&#UqQDDAX<+I56+a$1 zF)#g=$r?QOlC&@SO2ri|c^tzW)C&jA`+^U6FImk}&1tnO+!Dn76IG-pAF)Zrgy`oPC_ocCG!%V2WH`3MI zq*TwSbz-qv&*rE#N^H|TPrXt51MATfg;w`xwHK2;Gg{ArwJE9fyl=MLW1i@3ss2%O z{b1x4wODN^x<=H$6Msw8hTy|fKOwcJjYksCcF7aQBVw_yacM=eD!_4!GwU@ar`L(f<8Ts2{Y5(W7ts$5<>+zOLy;min z)Y{A4tsl()xpB*V16Pwq@qK4!w1c>Q8qaZu`g-P@&#GL$D@zcYpFbm*dz5{R9a!V*U-i zW@9KObKR|$CfzGe%V%Wao>ntQ^M59+Ur|CrVb&JSUnXyzVSAH?A$_~rQJ`kUdi0Iz zF+<)K3bbc)+cbHAnc`T}pO=ss$9^NPBlMqM($4)SM&x5`cJL&nm*F8Ox;!G0%{0PhPyG$a%ngW;P zlTjAdHu9YDhH$5wZLd8gOb$D3!<98Hch{JjM@&Hn|w0H??*QaXfQ~$#7~!3AO~dP2Uw`pXY1h zqP4}$a%~i2EH|2uO5A>FqZ|;8Uzce==bSyVfFZ4Ia`v$5nrYrqs?c zMarV=^ttNtYUeI2wbe%DR+jYZQbOH1D1BclYh;5RnKkjqXtKu>Jjwg#8QnJ?A$N7j zWlH8%1%sId82*&v-lhrPy2!bdJ((^um^7HYDOLLH?P|tU{4GP9ZDPq21={DT4MrO| z->Q688o9TNZ{od1aFp9q?7DYSKWq}KvO#lhF{3df#2@+E{g*G_@>#}P&(wr|P2{<+ z#Ml~MSSUM_s$s%A*c_ai>OoDsbuhRGmD7Ngw3i6 zRq63;=Zjn!abhZ&2ICY0_=)tEqx%*ugRd}* z+DX;3Qvi?F#Ea4m9T_o9K0n03wGGsDg zx&{A5Qqh!Ue5OD<#+8ReC+X+oTu-72I({zRag(<&$q!rz2c|jWfWF;S9GvBAR?f(O zXu2Vzm37E9&e0I>G8L}Inm6Mo)w!8{$3Y7yFXWVgL`6G2+3S8H5Qs z&scJ!15vwAXbyj~z)Gwx?6E}J>_0Bv)+m@8`EHNwZxRXFp4a25a-DBfd{V;5P)E3| z;m+@UitS*w$F?g%w(dsQk0%bv@64}|x>+Z;%iW`T{N@Zo(MEMt3Lc3G)5@h6h%d1#$Cp=m=Zo<_zDBIi};!%Mr*U zeumSDDn{FL@q=nLA*lhzlgV3nw(bZFypMqI@m|7tx=rZ@SbFqiHE#?l?lWMXPRY@S zAZND=(MG3(5i?B*Dz0=R*zM#TBTCYtZkM)bL+{`0MDWz_Dsor3wlEUQ-^#=qyAk8b zHR?!{Tty7c)dLZu6@h<5bMOct^WY7p+Kk9IFIi|e*zFNC!Qpon>A0LjJjSyY%NW@# zaWV)q3j{>i@!oBZI`TSrE#o=Xj^=fH9C97$W?0poAu2FE;nsG_Nu<#ci+xMFMW}L$87`u*$B2|+c(Qv5D>{RU=u(c! zFuZ8-AWmiZO_#ZaG0a16qOIcCJ+bm_q$O)!s%+z82iQ!ZYeK3Jj**vTro7evZIO%qJ=>@> z+&U%J@#?w8>Y~;raf4Esbxk81jK6o20j3gUD1W#q{{{KGO`FXt30}!?wjEPig#u%s zv3_TpuhY1sweX=bKGI0IOjn`umf&P0|DvK_l0BQJ^`C2TbF-p%@$N&+G2<2gJo*H+at0pEPsIk2baWktx z+RhAk_ApKZ;lV-c{H)r<3|a>CTeFNeEkp9uYNiL(%8*GINE$KSMvVyMs!6CAHlzC< z!RHJ&3%(Ab;NL8#Bg^U21=kMUb&PTB;imSU{m$4%{)vjFzzF|sGxz&sLMFL${DeGF zJvlNS_@z2g-O<+|FV%>d3I47!ZJT~%f?29D6<;~Cf99Ym?P}a;{(bl!RryQfXnsTO zQlWLQZ&B)OzZxNy2vIh2~T3^O) zo3S2d>Y4DhCZjbJHw(YD>wE1J!uW6lkF7FEUS+=*q1z|LJH9BEFeRZuMa-Xj;*#_u zlHZD%1g;2jN%hc3|JzMh#p-^H?TW3;aRZm64|+yQo34m*(IsV$^v#4zwVyTXSM~EM zpCf0#Z^R0P;8Ne{8|Gt8ujGAjz$&y#3CO%euZaeL8n?q(l4BrT)p0%J`RR zn~mHuK664jP4@B?d|;nZ!Eb!MFq?ijrW;>S?x-!)qqw)+BYVx$tyk|?N&~!hTA@MC z`n^2*Nf(pMF}1X4VHd(gT7P>-R_{XK*SmhD2xHkp6(zX2qvR-?+D5Oc-+Y<8He4>} z#)NFVf1iwC%t#-!_3Y%xqC8PEakfLI+A4fj%x%@-QWs5Qts?J>!e(nRbWP0rLSpmDaj08vt!vYK#k{l_3dp~+jHBOgU>;0}Ib}I~ zQG5H=TXJ}DD7tC7^$kPJ;WxRCyxn-Q;z99fET^@h!brv%8b46)FBLB6*ZDKb+(DkIwfb}eVD82QkfftZL;{5(iPF2GjcHzn&lUW zkmU^UnK$s&iq7*ycM2@GM`Yt%L8!W^EN_XhvRL%>iI`lA8Fy~xZ!+?_5HBxkQP}L6 zksOwEhs<_i^e7utU56Kp&;6*Fe71?2^NJ@X@Wp1*R-Ep<(Ki~Omw`w9P8>y=v&kfM}pa*c|T6yP<&3oF|usj*hMDO;e@fcuLRn|kh5W}!o7SNGCjW7xP5b35+vYQW%gY$Ma6WShc}MX|lYOt@Q)G^O zjsLBJkxIqZ&9`0+)13!4d(pOVs{M;Vo)zVGPCSeRlQ#5BAn}p_FRIBj-rAW6N$6(N)) zO?OjXy0wj<3Z-r0nl|w450Ym034PgaY+N?5?7i<-W_Rzt&-4DX&wc-R|G2U>XC%#> zAETo=-}Cu?zMpdeex$)4^0t+9P)5Tj$%adaCJC#En}XrI!en2UEDI>3vdDpX+ox+uLU|r$)8FwW`Yv}_| zpoSUu68(Dg1bKJcVtOymhvD5&l-EGl73x(Df_@$ zdZLo%D(63-cMn&xyUl+$lpcJyYB5~_8l_K8C;wWV&^JD*PPvl)uT`g0rIp%r4t7*3 z14*SWpF7)eKJsVk32BdV-uytVK*&|gCTggv?7WHc>$Q#R-c*l3vN3&ReR2KW_Q3)1 z?SDel^RP1ewY;ZcrGNF=ypr!R|9f66-m+{K8rEyKyoun9Z^aw)FIgWg#~YvhD19RS z=KaOH1G8WI>R@sAI`OVQ%jbWz&eQYixAQBBOY6j+Uu>$MAKtir85bMYPZXhO?a0RN zB9vW!;zi!nS_J39!6KfWN;`68$>vy4FtL~|`XIeqfEq!!Y%Ur!lZu|bT>y??=NoCL+ zA5@QWjabSoXOvq878`A!sOVqxdG;9Nt#+gZpH?0TmFfM)5fs2@wS^Wnv!EJGFb_;H zx!B&m(jmrv7&sSLM3-{Quc_;;;6SNvzV={uzWUM6QJ*qkzwsO2s*FBIrq$1y_2-m_ zjJnQA{k$=cy3Tim{#klF(N2~x3i}6s$b!cnMHc;z`^A$AX;)ed)zsvA_6$Mk7Q@=t ze}r|OPo1!TD3G#fgbTx{gUy)bw&ZTL5G10~c%xY7?l$cHP(LnPsSES>`(GX<(@JQR zhzo|jvrx}7=otD_ANQqtrsirK)AwqR1DiLf>#EJqhFW6CK0|g;&=j{}04^xe2x?Vv z5BV_tz0tfW<;SOE4jVKXzbf(H(^xKTF=v^{%6 zb$gpwYxgwUEwx{fm0K!k5Ry{OdnSeqoX_F&iVQJLH(4|tl#AGzt% zwEChs1)4~u&BkU)4IjnE8v%;Yd1?<)dY3jpanJ$|jj$RMQbnm?d*^XY*P<-oDZ56x zG^4v5Q}eRwosy|8?y#oB)N#~IMzQdo#A6y7uE0e0xE-9eMN&0;Fg=tpv)e(o+=?rj z6|rYz`{o5IwC`4q+T!V=VvAY%^-K8m`dRy@Ke?*sUTsE=_GvrKD)V|vt~OvAOf143$RkSg9;a#d5Ip1Zx$>kZ>S5RX#s;`0Y8veOr`huj^;7E z&P)yYU$ znvvbuet4iSAy=E#`9hC1PyMZm?=9KfZAa}ZSVmiCTxE$?t;TfcDSfJFZ?>Z+v{?h$ zTjVnsRUGfFU_ z+xw)@;P_DOC`y?l!X>F0mKK!b3u4WY#l~VJzKMc^p_X)Nnx6Wmno!4iQExz#h1S=k zW_>3$Q+xxv9-FjfjztifXH^iU-Xr|I+O>0ooF9 z(sCFyEBpv@ucqaBN<=Y(bkMjTq8?dST4jAF)>J0R?-$e*e~3DXivYzfb5^i5pIMA= z5Hoz#;!`%Vc&#WCJt0)h5Ob%yo?dSjz)x=;QfwDLI!{}ikAgXFCs|e2EX-(IpSZVL z;>{%I9_;I-;EQ0woX9i-w-P4dFqud8E`v{|IH$lfDq*s`lNXUui{VPJj60`ROI)%) z5f-jpGY61Yv4<4#fIx$aLwg5^x*E^evYFA$Hqn;bG5d7i)iOoiUlYS&e32SK2aFF zv2J?FurWSNp`Ybs#kKI^Gj4qKI|c`K9B29fIXC#IB=T@W6=2+!2q2P{0XVY489==g zZN!DIVPb;psIkCd22dK9m~(PcpQ_+%ZLncfa7lH{f~}}^0-_6ET0QCTN-Ux_uK(jh z17|2763ajzb@oA%jhafymMH>$WS*g%b6f{tUg{MY!Oc@>Z51bgOVbJN&w>s-f=Yl7 zBgsien_uBQmf)zeSY|6Qd^`BC4(vebHCllv(ok=h~f)H-1H zT9qqgIn5!=?4*oyhF~Y3hR`vK)Ii?7I+)BZ4gm`^+3bb|Z^Es1YuGTkAv;7yH1=`T z(y8CEUWUWGQeFq_keQd0v*@Sa_>`eCnvVsW*aAni(*gE30q$>@b*iludpQhnl>@E_ zkde`V1!RabhC_r>0sf7+*aPxT-Oe~{x$TS!zG;E)?*62oUp1WXI|0ERn=0N7;>FIi!GNDou}dd4)A%H;ZSIpi4Sn4K0Q zg&mR;esh!&2=o{rBqFDTr=Ure@Q^)czwxcZN_F>b2;1lQT(E2m`$`)iidY@_Vn zF}r!4jMUa+Rcf<)RMvUL1?>FlW+^o_?f%pKp`hc=H*nPpMq_-ui}jbg&_ueR`|w|p z)I3geN@hvR?3L8zLFpgQ{z-T9734si|#a3+zn=~j2gl&16&d)yKJHRi)NfDXI!mr|o# z6DK>Y5&3GXyC_CVf}hnN7rNTe!LuRs;vwU{~z4(M=7u9}nGf+H+AL?fvH8{1ow z#c%C1o3@>QbaZ>HNnD6xrBOdg&xoa{KVfutck2yy6FxUxib8tVIa

v6~X*=zgsD z^>^)r@nymNuKgjQ{(&h92X>gR%e7LSJ`F34>XGi_KQrExz&G2$ zxHw$_DDNAXnzTR6()+$9Rl{*#hJycw-nV_a$u8Qr1*Pn)yyfh4gZ#K&);=SDPv|+j zT8eFT*9=%&iu(bk=$X1$9MJThsiC7lH;fnd%WofdubQ#ZBXW<`kM@fPms`zeevOX1 z9v&FMZ=(~+?&t`PiNcc@RqX|1FtRtYs+0ZME%;GDh4+&!IJG*Q+E3Qu-R2=;q;9TWO0Pv9(n9s5ole)ROZUGd;3!G%E4TAk6&6Q2cz-mkL~NM7eNF!7yphq ziB5{mQxo+0QlqpnepUvCtzk}B&zG9O9g>-u88V-HDqAacoisicXKGQ?k*=I{2YI5f z4|S@p5&fH(6{f6R`krVXhPJKDll>HEJWq!%VR@>{eIIhQjeZM3?c)}UdL zeph`ZsDt+x%h>|5i8PDBP7uwJ#~fH_u{zKXnzrJk5AF|xa-CQiAZmX zr{GBQLnG=F%ozfL|ME2Gze_dnHZjl6T*<--k?k|Vkx^4WJp+JO|I1f$2YaFqqc>a5 zsm;?%{tt8F7980@>ViGh%Vu{Iy{PEt=}@spTXqQ@4-~g(+s{uAL88nkKxo{pVpiU~=W+lVCoj3V*k2B3k!3%p$r ztbSKYzIR4nIa3;PN&x^gffp&F6h}EkF6A6*)kwC+u zW9?tC9fH+s3XKGo$U2J}a~0mI?ON?J8_Z*Z@Z$xlOE;6=_*8=(mcV{*jU5t9C95re z<{}URuiW^+Mo?-#fmZx$JFF`XQvFX6Y@lbQMc0R*{63$wzPg4fxz%@PyR&g8qi<8tKQuo-`=P065@*g z8W(J!pvvZKM$qET-}TIz+m|h|k@o%s$g#b}N$oA;iV*qR0svg%Mq#y#UwkNWd)4yk zO4@NbgI^pPadVUQA8i_;tFLEY#0fLDx*#^Ddsc3{^S;|%RZGRC`Wl?F`U_|vrV@{YB1X)wNhADuA#edYvC z8>^=IbfLd~m-$CM=4XGq^jM#2{%jGJRfxx5MZjE)$1TuX5CmA8%q8rW@A{-nPh5EH zlw9G)TG?Uz=37Bq8`0j<>=EnD%wF6=;LekIB)dYS$ZFJnF|@k2Q+_e@NUk54v6Gg z>9xV+m{lHS8K9&@K+>yXL;(SUarT)gGbKh$);ERjP&bQFZbpuBa*e~qyWuImLj~25 z=EXC%dGlx;-P4N$t|C^G!0m!KSe&B~zlA4Y4OCfzn&AM0LePDi4{%`S@$h9?l zqbsAf^%M*%kW`@BIy?QQwF+Sq_*c?7Kzj3yUB7Yz!UcKxhoeAOnUPTK%>=*#1e z=r56n%Jc54DwC$Di`8HeQ~{&?^Qwl!_-x2+q`X?sW_;ku#`e_I!wtbco<)x2DUay!xGV!rf>aSDo?FEo@p zq<-ziM=HW#dgZHLL%i2^{(a+Qp*vI_7@n0m8dI^z{{2yTxAK_#oiFmF@iXa*q)F=Q z+b6Bl_eqEQ@djz4-?dot^~g#{uclwuR}@@ruj*p9Uwh>}MS4%XeRQIohAtU}+426i zCUfC*W7n;h;%``W^VMbPm-g+qSg7Yn-#~7izx}`JMnd^{wBr^#{lm+~O3TmB-VXeZ)DRe5%|AhqcGwD!mf(aB^@ORZb*T(XvK zjh3=?=95dt^wT{+BsZ*001OR{o%k7wo>sew`!%VvzZV$+VTVTqF3}-D&&1`9Z%C{L zQGF0*i4SNP8t>%Cud%!s+XJ?Os<;eMIMIoBCotb@i{6SsY4H|15TVrypK>IN>HP|W zlp~BABg0Q zYPzJXqNr8VuVk0BWs2S6#p%A$myJux+i(%F{~N}8ZEqW;)NPSlN5pB%EZ=@a-h%34 z+tn+&_{ukRc7vyV7>)L~t(R}@qyBTLxBEN``x?y}&w}N@C=Bc4Bh3Y}(YPp%4E#pI z14O77_7r!=ucer;(LPYxAjYPLo0@alYpwFSFL|rKO>3IBY}rG$ z?Y0I)>6L{z*&+agm9yV5fu1TR<6^G@I3xrrAENbkPL|%_)ufCrEku!xPAj#O?|-MQ z*TCcbB%I<*fDVOY3MwhMSw2K8u`M((m|@lA9xP;m3YRnYwbU$G3qnMePAkTsv((>w z*NCZ0xQwbyPn(iN7KH$1)uSqoE`b)M$6e~E&ko+0h*-0d)qV;KLRp5n`{`~Yqzl}A zwspNM+Q4PW4=VK~a!)$OACWG&OUiI-DQ1{l)zFiJ4LRLhcx}q?ETIK6QQ4Lmsqa z1NHjocg&i%AMp>ie@-6}{4bt9sESoT+_s|*ad`PouF%qgRg-FXd({aLV74v5_ zVW@1Vhb-c`GBmA5$A6?2#Z856uHAjtPKt|i-$IkroCu12QlNz0PiX!DWk19PPZ8J5 zpkm25up8y09x|jfh^AR5dPII8cx@f6BUO%DE)G80irR>)RiNuK15cIEh2U?NeR z(7_prbrmRw+8hkS;E-gTlxL3cPNaw$Z&(m4!q6(#vchpHnGoK4h%(F}NeNpCLz86v z2)~&C@HQt)28aexXU+%*K*|Vtc~#o@v_nm@mTnrBmvFuIPFPCO$sdv!M3bP%AGSo5 zu*nwF$BNagDJP93!C?cUUhOa?#S3_V%-HM5Qxxl*>@@k!#xX%>@qU51d0N)JEQ4h& z#a3ai8Bj833;>D&)d3m4*8k3Ih&Fi(=9m@GyP^i@4}XHmGbDVG#-mXx(A9 zS0`@XjU~ixahqAz$s%IXIl0|#7Gr%1R>KQ;$flcZ1HdFJc2zz6COsg$z!N_=g}r`D zSFgoMPVSgNR--GWTKvujY}Qph2VQM@J>10*-y2elUp1N~AB%+4fBhM`S(7FQ`6tE9|%I4}nE5%9!0z=)`F zWE_B@k|b+(4FSOsfWsNX%jz)9a+f`O!YuFwkf_2Bg)xXB6fyiZZsMF2$f8c(Yjeqg zX|HJ5{63Q8Rmg|wVy$j72MrOOaN*!*l4b)wT^&;4#}B<-#A2)I1Z&w~u)SvdsLW;5 zux*reIuHZR;=BOT0-ZI?K^DeN6%cg_x?A!ln*lUdbvgnSeFA}zn;GYVNpuETNsm>} zRbA8Jz&%3Mi&g=eB{)*#$~s&q&#m@+Acv>Aaijpdj*M2&jhsTa4aNKqIq%Ym*25KEMV}^WvIar`0yL6o@y;6FQHOVi0vi>snbYFD z(se<*zaaENpgSMnH8a*H;Q&G!mq9r>oDi)REHecLYU1Xr9E>isB^8!*T8fTLrUrC0 zpjmWO<~NRis#YbM&k}!G6>ez_6qTBXX^Yb0KQ5mjgFXuuo=IhzUw11z#5ov zb*RT9@lmu_9*vTrAo5&)e1W*-d@Lg1JewC)FwHx~^6=_bK%khZl7! zOd+2pIjYJfP8+ zt+}WaA^mIgH~cWq$*oxO!j}et<&$b7okow? zN}jobqCL5jWOwc?U7|Oonmo&`(QXW}$5Wf4J@!em91wbP7wsEKowzBwKhYr9iGg?R z*_tNtVs4el`=w2K;2C)m599*R$xRUNcEUREIWHE>t?o@zxCfvMv0Jzs$f-kGCCS294*~FLHdc;8jIG}cq_<;(Z zjrLP$Rn>iMv?sT!Mj`FSjo5ePB(!dcOasq#Q?$uGIl7G2c3Mf`JTwoQ8yLv#mn)b0 z#47Q00%bPvJ`p?Rz&F(YlN_gU>R%yZ&t2!;z*Y^O7iY~TZLKQ8NzyvHeO_-k*V)#o z))(dI33O7umFS&DQziMwQb&IFdjlKM5YmQW>-Q`QqB0Bp`RjT<sX zbaf*t)2@}M`ZT2GJ_OyiumL@R_8|`twSj~^1iaX_9c1T}rxEmLPfmIA6j18dqo1Nv zo+}c%2Q4b6MA-Rj^~a~g5tutQk|zBl*U&wv)o4)G>oHi4OGIl@3x*WCvN+n*o>#NO z`X&@Rv5+vj^62%%wjHl>GClmap||R zPf3DHKP8GGgnr*%2%PK3&mdH5qjx}`u|P2QlyWa`+zpdYY8(-Lz`vK9;{SI_C+ev_{QfhTy% zyHp3{loSTVL$Yreb_|K`&O3DI_e?e}-pLXF+4S^`voAeEYWmZ*?~*88t!#?w&IPRR z%FpAea}y0LdeoyRk~RNcOIlTJf-8k_Vp>TWWW12ER4fC$$00aP4>S!C!bQMy|P z?>R_~{jflu@`pf3va&z-KSTkz{xT0rOSaVrfqpCvk>6T!HGP>eR0aFxG zHPtW!q1lb3LB8kn5BuY4<`&~638;f6!3U5xGvtfa&=xY1V z50B7Q|G?*Ns(|^c=z*X9d1Ysb0>J3IQt;oCTaCqn6*}nY7mt2C3N*mAy}hFsp-QJ; zEc_&Q9(FPlGY8L$AKZI-Vg{DZ>igi{`7Gq#v$zt>gg%^>N)vYW-SrRquMPouRyn7B zOx^bgXN8X!7Pbs;hngWE(CW!$3F(D5sut^ioO>6jqsv5Wn9_tMZTZCUI}E)-J*_&7n?fJDU3crRuM+ab_?;KW z|HYHLuRlFG{^Q&^b9HF=#o{S)1r7DM_hxgXXYqqCN9(lUxzY#SxK3;G{PT_7zoJX( zhb_%;4a&GN2O0?e=;SzL)&yi)*!veT*92vMSe|K^+BC57@l|`q^C7Sy-OtP4o9@Z& z3BIentG`9X=j7XtuM_8Tw>57+uU#>6J^GaSOQVr&(Yy6uE;a}eaNzpRnycoZp9NC= zka3vpAjItUvd@Dd;! z1+4Xib_QPq=GuRtssb+MVUwB;qc<3@VFz#pU?kTWrvy@0b{KK`W{AK8r@m4-0C+7< zAez`BnA0%aJ1W*a!+hMl^%E-TUflNyBNdDP%18yL<^N9@ssA4lWKSaF6!2qDqWdds zT}al*x>aAkykn%UeoRrfKGdY1?aEj6luopqeIvi^7lFSNqA%@UqqTl3(U?z-U7&9c zUYSX3F~;uu>fj}Mhcubq`^*a7t5yiA@9%i1YNhMFruyZshi+N!I@+{;Et4Po_xLYD z--`c3{-amX#wXsq|D!7j&|9EOfh%PkSjKHl>N)%C%5nngFi9&rt9A7~l^uK$cFYf& z!G5|WzecmYcx3A@faLmE@%MCP_npPb{d6UY?aA>Wkaze#nN0tge>pKsCQIDd66txB zo1{nOUzLtlP0}Ole-V1=P5a0_zX*NwSn;Db%@rsHFw9C1sIW7@5d38C-yq(#*J~T_ z9KgfN%P@xl&P3&*uJxR?U52^mE&kh8_8#pOvrgTLYQbs})D5Wm2JOyA#SN_+rq_!N zWc~3k|1kR)SvS@+a5T|Kv)f|p@x}r2sxQ`du=7WwXJ>x?yY8c-ADNdnYA4WtoBcI? z_uyH2>iiV>&Bq4rss5u%Upg6R+xz^!Bae-Zbp=nA-ZxH8%CA*T$RLbae(AXJ7vsmx zZ`*&E{uVib>#ra(nw!YApLzd^vs19qM}gR$J@ou@jQkyjR>B@RXi?sdQhB@U|qL)X2i1>?|77nixQi zo%W$yJS(E*&RqSRIV`8dvlfd~ch=#WnXP`x1T+UTvI{GY4q&FLUkDLPwY(B#aZPhH zyDTg6p2YMUOT$!$S?MO6a@?z(m(9cY_5#eH+^6x-?;QboUITsB+Gk{=x>R0|4k(Y< zHJmKcyVxY3zkvVwg^2(xs#f#+V(A$Y{r#yN%|&0P+~4S`XGj2zJ^`Cq^OQA}&6b27 z>FQg$&Pq?(J%Y9^~$w>1*ia5`sizobgak?qry$APs9T*6X*?J%20TLicXzawg{Yj$bMu=&4um|7{c9x~gk z?Qcjq>cmpAC@E)fQ9OiOt?Cn2^5)Z)NZz#=m0JS8BfsR_IZqy$C# zKY3U`8h6+MfrH!}WJvVw&Fj|#B1qB&QGimlY~=r#)9nB8)3uS}KU~iLiSvPU+VA3nRBKGyyC5Q0WEN=RwEs4)i>!*dqFdQCWxB% z2Gr3icQq?*b0)-Xm}1IuH0bV2)Dc3L3N52PN8ltSru5}l^jgLccRWdrq{)eHiz zoVPnG;u|{SSH`b3)mT};0vUDSN9{JP0cR|}JGZk#8|6t9B>--Ul@%s+2^;E?>Tt1M z@->CFDV>Jub*ME3gGsVlL|11~QY9^N>Dc6P7tLsNhdpG4#P&%chxxjg}Cwr1CAYZ?6yD>Gn&gv-3#kCHs|j<(j}OLA`B8>^&txt5w`5br3P83-R8T9t^!47h{kWxL2c0O5F=`V zhG(#Ak@B+^6Oc|!sr*rgq=A2XCLnknQWoJsl$lYzQdNto?gZ?<9Rr;Mpgm)ht)jdM zf(imcrh#NXZ8H#1frwa=19{Q{_{fUAo=LUB@CV*HhS~X0k_F2OtgOvS(qXj7T2uu# zElA|@8eK3wfQF9g`|J)5DEq)CWT4w1=p9~1SYyMZ1QrBP8nz=Zutwp-*szTS#_j~D zj`3cvof-K|-pi_+4Go(R(+;TQX2Cwt3~Id~y=eiH7*K1*NI6`*k<@`oP%#I`IZX)z z*cpb`q9a)b%wJL=N}pIZNLhi`R-?`t3~or)Nr=o{ey=&{2nj=qDh1gawdp+9Sdwht?oNR@$jxjW!&TR(Gh3vxc`=3hRq**|`Wy}gaSq6bD zv8XX)>A)WaJ5aK9U>Eno*q~B{>g-g)#ZF_P9M-@cvQzY`5*+{{)ZCy`&C4@@sTXwD zH9!efsk#%)nE=f5!9P2iH~gHRsAeOk~xAH z6KR<7aW;-i)L9m^93$k^m- zTthO`3ze}T43Ghr2Ym6MmCwG)kMnSPWkS`V^1vmpBpw!l*LLp3^Wl6W9Htjx(>PubU3)$9AiLT!tiUY)Y2MtfNrptXGrCECCmmY zP7R)^;wvY3dBbZ%qj(Fh31p>0t}6JObfO#ZrB&LyviQ}cR{52~=_sr^{BVkFvz5}~ z7UR_v_`s-0XMhH>oxF}nVI2g7NriyJdbu!bRq6=W4B8XKz@I?EfV0X$U=qh7r+k;t z_1T~Iz7i;V)JA<=ADvRi9et7_1dIXIb&lZU^$9C=zx_1Yt(~my>X*|?o}by@?F*a( z3V+8g>3N|2*Do*l+RMge z+ILy)`p5AofGWWQoz2>hv&y*ohrNB*V;!tCTI9-*SZr>}B4nu#*X*6^U) z?d6rJUPaQBfWX=zVBayLRhpa;eDZ^Bb9!1$XruCwW)YXxr>7mM5hUPdJ1U1{%nJvI z7-e^2r4D;#ZjSb1Wp2YW*E4;UX$}U5k!Tsrcq?XTiVcGfNXcR<_w=<%P#C^aFZ3m1 z0tuZ5514uYdkxQZ;Xcw!)1uK&Ev4U;(3H`*1uq3%Txb^d6XsAEf>oKF_T}wwJH@k^ z))*jgPte(5zw($Y=k(8w&v?Y@ldrU8@kZME^EwYrw~hJaX6vvd?zY;pim+bx$zrqR zn=xE17Ey#7+6b*|oXx2^4+A?wRl-n&sy3pyu4LqA=v{|%r=wuJ;=JG&2&Wac2;(uB&SG%0+U(k zcBi_GxcmhJ)hxpb1V~C-rm>8FKAIQO=UYqUGLBB3e1r7blPAhV0*~S7e0m~_q?$58 zHS<;o8}n8fNw=0scs_NMuk0|JQXvd*$Dq6e4w5D^a6BA3kqr%sHRtR%KrBQXdm*cJ z`x{e@*>3cU#uvsKN$>b%?wtC%l1^l!d2Pa;upe5CFId5IgA1(?tA$NF`_Ql%0v0hg zL&HULg3TW20hCbN|FOV9nLpE!lzExa1Iy*r&Dbo0iU2`F~c%-6IyV$y@La_7n;>;rG zawh1jGj?%9@UcXFqp~6R-RujaT?>lcNO$Z}=1CL8%4IKMY=S%iYz?FI+aF3Gxced~ z!N;w`GHlYk@R`#0ZI5ug{Z>MrrmLOUrzPyeZKO(uz-D207QTLffc|Ymh|uiQWZ{NS zc6i0tW(kV&$sv!8tR4M*yFsfGciTlxcVi-!{s z-u^`H`#{dULku*NF9IrWECVE`>2|h_>cLY4wu+*E3x$=07M_PNYze&21uLwxWIFBP zY5JfIH!F#z*-8Tca5qMbglss1LH4KvMw*a@9U-`b!iPJ>O=@^Aa#23uq8jExBkFd^ zW$aPoLPZ=v70xR7>*|!UPV;jx!mwsIZ@Z{xE1PzpccwWA^n<*anqi1EdspM39c%ff ze{5q`%KqzWTv? zH)u%nL~}i6)oFg?lRDU=?9){p3zjR|r%J9n;r;j&a4wD?W+m7AB%8%|{`mVE^sut|%uQ7eyr)gUd_ z(0yFE--JBZHgB$e_WeqQ({HPK{K@oPs`TAx`a0>c&mXC@K*eWozCs8IyrqG5f&5r> z4>8`H0`7aACT*}PRnpH2m$VULoE09@h_oTqq-pr<@pCF{o7c{qC;ma%rB_ZAFl^5X z<^RWN?`hg40HUpIQS0ejoU%a`d6V+l)GoRgrR^j2;k>p9L6jsxJx0y~KK{dK+9GCz znxE9a-z@lz)i324<`4|^hfD>AM~k6WvIX{R66t~!vi|2MX}Eu{v1=jd|KHD0RpeFs zipd2PC0qPE4It^XP1vw{lCcI~lt;N`al{x@NELw`@*;=*c3*QE(kM}tv?Rufqz@c;p2Q`|5re{;a8j9URWJcDhjU;&i9B9$6r3u z_;--O%cGSfdXk1Nf;T^V6oJ@-KYO@t{72X>!1i1@F%}p-~Xf!{Aag-s*+F6d87G`n!PRWwX{Ac?QMb;jg;mndBxmYMn5n% zEX2xnpst{(UyJ5Sk>BItmRs(U8~XOO_%4Wx?aQyvdfs?OX_BW0x5zs^zGs3}=RG@u zomqF@UZ-rbHmadlI>@@=9ISx#{c^YByXejtO>#@j{r)iNR-S>C5x!1@6NQ{FJEg3* zBg+dEjD(k)FKRcvYIj&K>*s?r=2klKYV|PDXRDeszm&$tyJnAcd@ld@FVv?FXX}iY z5*YM#@AtP~2pu#-tbP~gxs%r3e~4~XJU4+e8F$l+mKX6p zQEd>%)hY8!Vh78p*fZ6p)=v%VnF*aU$}^kI@#yu8{s$AQoApL4cOx^Q` z^q7sbLFy*P)&)&J!p#x6+jI%z`Dm}W*@{iu@5s_eM1i`&eJfG?3435Z4cGP%ZahdAs_6mic8nk$j8tU?&zR&6&$vjzQQ z&wrunwyQhMfNee}YVoK=5{4P*R}M(sQa$)NYk@sy-9sFbM?FIJQSovdkta_}#cmlI-;*k)>18t{ zYHD|z>ba)P&)t6*b))e|)h!EDQN#8%(Pp59%>Ce*JNsJD#1Nf#?`?ZbijVvBX}N1* z=BT4{Rz9Xp7vwZD3nJ?1xK-VU-17NYOT^D@qWZWoB~>lZ9&te)eNC=4)|nsxC(>FV z8o5lvQ`CJr>q0l8G`pW3FeM$JoLqHua7#9a47slZh$~DECUXYeG?OXP*|7a=(bC4* z?xJ_eC?GNTKmKqt+LF4hT~D=`r&GV3vOpC67l^5)jcq+zT#ihixQt}=$eZQ)E_X>i zv#_PqQvJA=YJ2XLUrSu~>}i>E?G^S|+;>A?psyA2!R7&3Kc*J&?^GM)BgCAzQxU^6 zLLfoUK!~(?|A=y5%4|t3>h*S3eOAn>f8IK;ylBHPcT#GpDRzN#0w6-A{lbRF3*%)q zuw)ltQ9+_EXs?Syrear}&41SSj?#*w{g!VUW9u2qh$Ki~X1WWHwhoLBM?gq6_eO($ z`Zw~DXqOQ7p<(cy`!YGSD}Tut!I5dTYecim?6hp$P}E{z(qwLncFlNl>9f-Hw0^I= zV(a!8itDP{LB^j74Rj6nb&@nWrI^JA(m?J%NlL|y79EacU$N{l|JCdt?P!HaWuXDX zdW`CwEdecuvN>%O!l|76tO{bj^+@6O$>AA7tD9k-Z)>Hp1k4-LdyWpPPHvR_fe!QK zBUbFfj6%5s7BReO+)D6%gU}|Gdx_?iIh&eFG3g-ww-#=`HEbjEK6OH2#svtoPthS$ zgUGFR4pxMTKnOAlIw*|UkTa)BeWp=kag3kEM$VL^%UDGfY$4bk&qHjxgqkg{aq7R)76IJV4_Ui95W9bOiU;bd)M2z%g;Np_oe-9P(3{)lsI*h=()vi&&SO799Mhusl+Rl#cUN${>hC=pv;H?UbUExX7PaTWe?bZf@Br}QAIzeIBLxF>5UR2x+%!} z#&)a3H7^Wit=vp$z1pPbab4JN%MA$%@A9Z zs1o3AuMmlyEP!g^R&5=4Lq9#{bO=`16gZsNX#r1?*{rIHYHCT2q^}m>R$f)4YIv3= zDJ|f3c1~8{d_~p6M7W6c0bMY)T~+}m3$~Kv+BJ^p;F&oLz^RtZgT^jmbz(y&iP(-7 zYo{&luXnE8aUWx06>#k^0KEpMk-+;scLzUfnd6L%3vITUIKmuFUd(^h1#-;@U#tRL==`5+G%5?LUWCeZ{AZ% zLKJ~RqyX(QYGjYXdmT2QwXB!VB3h6(8{qPqM}`Sf-U?@6yV|7wA)%Aj>SpC2xNOvM zMsbK_cG$U&pcI9N=+mKxF~pbyC~n zteSJsPc~avPXbN}U>vpzVS%@F%NYc&G;bwAVOqO7#DfuILF15@Gav#&0O%43(A;Z9 z(TJTZ@p^lq-wRO@fW-Lorjq27Ec4X5>q33hyCAAemB44fSEciS>H(m_Fn%hFmB2X! z;I+=UEuM*C2dLiz$O_;lF>#DS-Rzi#DY^8H%%pcWleQw#H)T6aV zjs3W)fMZ4d{v{lm-fK*>9@CUlA+)TPE>j}}G7YqZL@IZyne@un%E@{bJbrM)lSaAZ$wT;8Wd7Nv)^<%F?C>5=x- zH_Ka;Ze_CN$_#1$uxsEe?cWnStA8b~47cxBx5@9d4ryED9`{AS2m%A{(G@CoH}8A= zd&U;6yLobY)%NsgyUqB)Nqd=!JyQSw&EDIGw^7~eq9aAH1&4dLW;~Y1ieqcWOY+E8 z{8f>fLP2Y3Ngl~xu_A&<)5cPi)S(m-<93Jc?z=VPu|58dg#%7XcVlC~p`l>oW~bfU zvtuEG%jTmofgRXA-LdO(%(=b$5Mwx|4}H%4DRe*QIrn+)U-!>@^(0y|BWpAtYi54y z{k^~Uy)ZTl)!5DWt~EK|hDVx4>%SoY&FaD$6qm6ri{2|}ksS%YMMmu{g(Kmy=fQHT zu=n$&$f(rfa$Pr+Hc*7^z0(-nP!g?J8(bDP6ny%n@i_&0!Rg<@937%H;osWq1OM zRce_!G2%IH-z-#qY@Cgg11iv!&u&R{&$4<|x!~?jOb!jqlI@}G6GN)|xHvifwU5-4 zUVQekvYySH(rT~DxUcH76X8!#=df`BZTLD{PghMgC0i;-Cx!2jw!Tem(ldvA$TRL} z%kEM@<^Xow6(>7TkyfL>OCAwp>hPkkh0+(T|N6)E{y&46+L#dQ*61=nIEo@5T#(m$^=S@{6XW zDivth&Cf$ygo|jok1MzyHay3r&+z zE_}UIM{NbiC)(xS4J5X4%W`*o!v_;wgV4yf)q?=T(5V*Ow(CPQE3~m2-h=&K4Zle) zC509-NU~x{(%ZMe17CQ^5 zT?Lj|QG4lwkGzhGO)h0Zlw3=`J!V~K7xiX#TyvNTmNhGd(#G95z?%!Ebx#Vn8&%Zy z^rfnxO$8x9Lbb$cpuj{UVd zor8OeKFe;eYi%B)F6|W~5_1bnD!!)Q7B;!9;VD|7sbSG4o#6lR|M<(DNM}EmP&Jf! zRY`iW2^1iU*;QgLp41w%wsB3@UzBd$PHQElct)=(habP-HnYaJF>Ig6k85@d>zT!b z^&ICdC$uKa+N3(%EWn!q+ozUGpjssr8pccrvhCGM@sz6c>w;sJG|^U0l!n*P9a5M# ztdQK|>d~-C!h$FTbapj**P-wF%Gw_hvq6; zPe00U27_PER-J0ZMX|4gTUR5#_gzp;zJt%xc2C{M-bX=>m@Q(r=Kz1T^IuK~(Hrx{ zKmp#ay>5V;)t#4y47rRypE!3){_&BrzH?yEn==Mai*NUBDoTUn6WMrc>AT?XF{F@S9CqP53N^i2zJ|&ZBl%QTWlqx?l~u zz+HzGhE7Z?5bVxS#tnhvpu+3aoc~Bx07D0xOFg~u{u7{k=Y-I$R)J^^VrFYT z+)n46!JHNj)=XfOz(8Ri6x2WjpRbsK#4Q7hp#=+8OL`tdsf}*MB>#0-24Sns`o^7O z|DR{p^1x`-Hkz9Y!N$zh)x4kW*Tq-A5b;Q5B=!b)kX1@?3Y=K!2kTd%&PUm)^$$0K z>DaOqH}2y`3m3Gupat0S*C}#sjWr#@GNuZyo-!9Jr1R|`AK zUp)>Kd&McXejcA_9WD(JR{<>5=G0W~4ESR1726@!+*cw~> zn`4s(Upa6rSYfP}ERr(r!ZQisT&IAtBTyanFUO|u=wqiefFEDiiWK9kk;>!Zl(y?J zy0?22|y*inl5F-QXp#B4Siw-i4Rk?a@Og+U7 z2?@?Ko2X&e>%R88l)H1Pot}GI2wYL#>C1XR&up{fExTIJme0{erSG`1_N!bJT=$}~ zI253;UeqGlX(SW*X}!VQ zA5B}I8eHe*iJDcMZe^Wp6ZC+kG9|$PRKhN6P|nEeu-r3e2*$JwGL%*K>SgT-T2QYP zQCg(QE)|<97h{E&r47{naV~MLUMx?R)@s97^~YUpa=qFj7pRUYwKz9Z1bn7hV1bLO z(%Z?}>vNt}0k7^i_g$9Oghfpo$H>5EPe{LWz~er%N9?_%`np8%2!75!LG^)FTFp7pJnYa zp2MX&rv4bJ*pu3o6NE6%MVl|sK$h+3&FbDL5h_4lSbA0(KwE=1Z5-u6NHGA+6)5Pr&~jqz6|q?1xruqxm_7Eet^kg-mm5C<)DOT{B{nV~6B!_AA2Kk%e^Qjq(k*@D+N;Y3_MS+8=hX=M0*0xAXbi+#5i zoPz^*+C?gZbE%($^lyEcHn}F1w4eO37AjS2hDCO$(uVTCwE0L#bk! z!STNGW5B}YuPx=q4we9)S^>=x2QM5U5fcFvN>J*V0^r=RGLWh?=~5jF7_Y@}TWy_0 z7S%#)UQvCgJbprObjz24mG!Z~IiW}e8BU9B=AiRl{53Q5M*`Vt~@t&?K3Bf7fB-r$=wm&g| zC3NsM3-MT*fs%u@Ot{<{5Y&=!+PqB*FKO6j_8_Q^1Y{%tQRpw?mT~ewzXFt*`IuT_8T&*Ed zJ9kf~Q%5trodc=Gn8=MR9+elV52%AG)rlAe$t3}fVWUg*(Rc&jEx3Vtf6O?=P9M6X__2n3W! z$$&)$9R*ZraA9n@s5-5-gi$H_h{u@cEVXhP%sNhh9*s49LE$XS8NGJeHpw$ln_Plq=ORa(Cg=%pjuQ+O-KJ+)!yzt#&;_=pHLh0!y@9Q&&l;rsFRv~nXE9$F~Lu&W> z92Jg{?nUccvR9sXLT?oGip5J!|B3TtikTwOLY= ztyhCmSYPg+#clOT>K@o14s@%Kf1TA_fUdhdT`D@rd+XS<;o_v=*cX-y)>*~!wwxV5 z*SDf;>ZmGRmEBv)G>tfBL^`nTta?S8gF^oGY_l}4U8jEyEA4h%T}H8_528_) zK8LkO@T8BeW+Ry=4-Xtk4dw>JuS&(eS;}{Mg%!lQ&XLLsG&_~7?3Sk^@}N7Z0o*(8 z8$x=>lq{R{A$^dPXg+y(MynN_qYXr?B6tLneXYizenFGg`6Z`@BzI04npV=ayTu`I|2CBQi=UUg-y?~`QYNH1IHUS)9_=466x*%78^7dMJ z)ZJJx5`Gr7NWjvOZ+Td}%qO`KB|rO_(uA7Wx4E@lVBs@y-5c)Tm50IqiRR((M#tOS zmI4yA|B>x~Zh<=7X2N!CU@&sVwWd30sRJG*X0Hnd{nAVjAc>- zZOSV5A-6UlZg~%l;2Ic9yh+En8F^IvPp^VBvs4CQ{^lU5k(b$w@`5}gfAjW%1>>CD zg3ewruB4Xfd-4yBWvX2wql0bA#^;ZshuIB2JOV*j>O?P;kr+3eEg?; z728&@nF?HzKsw^>^C_7%}EqF?3 z1GkvKC;+4U72ldXCA5U!yfLd^IkyRkPqqcOL`JxhMGX7SwM9QciBZxQi?x7=MYj=) z1y>9(L}PQ|A<&$-&f^jQYfBR&iGI1f4dJM}L|72(RQeBSzI+8Q!y4qc3nTvF{ALO!7S*YHYV6#!mSApXe8&(9fYN zHLnCx21a=o0RAqbcj^FtcZXlTG25SeK?g+zwi|ax8n}Wv44s`r2Lkg6Fao21gBxS| z7VkSi=EW^x((zJa-X|oA%vcM02-^hY>Q=b|BPqTN6m9Wm0f)6F>f{<_WbM1R|?II1zOCnemXj%&5 zmEvxL%c3x{HgnXfpk8Gn`>3$RaTq|86>J)Nd`(=z>S4YwsWgQ` zSUOIv5NY0mDzi{L&=*isoqn*f?_^_>z3Yl;B;5NPr1CHt1;fd3{#`-OwNEOj@)1xf z*ZZ)V0-K3LvVV#_Z7S&&ri(A)Gk3IjOTagA*fMB-9@4%By2`?Y)`Ikbm;~=kC8UPa zYqIr)<>s|LpBIKf5;x^~_vEI+)ufKu+Y9wx`f0GLcbTm0*$5u2nfWN3c{W&`POxS`_TKRjJ;Ysz)gdFS@odIDmux8eCqaE~ol-a~bh74_ZOoK`X8YaRl zX?@`7KN@;d@}ZX6WdG#YGhEAXp63)O>Mqy`*ktc3cLTiJ)elMn-6!c zfVKpzP2=*ry?kTuM>VZv69-_YmvGs{jG>KXK1+lL77S{m$uxiMYWpqY^?KkFnr!J2pNnICG}#{c#OqqjyNU5k)kj#Y9*6qVYD=md*A zN4;1BhRwg0JPka8@o6pRH;aJLBE+Cx0qSeCF3~J99wAC?+|9^oV(R5cyX4T!oI_hS zKr-RqImZ^}&y-_VKHyZf*h_!m&)2vqT>6S^a_XW9I17MQz>tE`AOj5XBTzx|fuRon z^Y}9a?f(u;GY}^*K#XVdVJ|FY@@O^GD(TwCfAhxwPaiH^lA7T;$ND$nO`?z&H09oQ zI8-G+KWg-LBh#ocg4#Qbv1kTIlr5iFys)$cm*TRzu+)ifv_{@btqWhc(S9G#{xWaT z_Sj6m3HQu^Ui>$eA@|@44}2e01+oZzA1H?9L^SsD5n{3I-%jeLQ`qTD|{2eYt( z=dN+SFsSJ~`Mvlw_`CIgFaC^R&&7v&1#U-tXhit6mjCVW?-sAf5bw?JojeUP6W{** z5t!-fcip4?hZ`pvx)|_sZcOGY<^HFaKi?O4|CfuEKYx^lg)koY@^$gROO3&+cKXnj zYP3JK+$w%Yq$hoBS#qi9t%1KL2gDCt%lNwLdv|qL!;xQ2#*S=OijBgv+;_NVIoFm^ zUbyB+z4A~HcKYMCzyIkm-Dq1a7dsn3=ACt>Gh)k=e&g!aGU10Rv#TPjC zfns-;=1K_SGcW)+m^Ae1qCio^KCVtV?rJyKOh2Bz6TfIcA;8pA0o7bNtqjEl&}bY| zz`J0PE6vLNSW|zdjR1?GKlF?lc$t6ut6PJX@5Dxw@O0utxJTMH!1jKw5hJvQZnZ52 z25(kFW{w@=P=g9w77jR7PR;Bx*-RqZJFSZx^Rb4VpnlDG!2P2UGsBWu3hI5^0$eaS z2$%y0rMI)X$5_SNh@nS34s)%d7fN%PDSI`qIUO>eqG{9q+s}@)7b@+9r@;h?CGZZ= zNBb5oe7WK>#GA`_Zes6FX*_(D)X}l}c|G-m#A}>5CXh7;{evT#{h0^{@z8oha;Zu# zoT=PV4F_>rD^`yuBshjY;yhO)a<^OEk^|xx$F9aRQjIW;M+?M0HYtdGLW5p#P%fBK zuc-~J!D_okF9b|8fKOYSS9NU~AP!ScUK6+8P`t`%Sy9pN*2QH72srCfwq$JeF9R=? znD5ml__}6O6IaZ|W}D<)t6-*^BpuO_)Po|m^mZ1*UT=|Avg_}f2?+l2v0cUFKMO0GG?^RDlwTy7 zVr#XbG?dCkXI;2wNggkt=EbvcC$Dt~)e?tlKtaKLABgEF0x%^Nm!(NTKU~FzrV^^R zqgq7mbTY%SRZ_Fy8$7C+=)usinTERgTa{~6s1KDr8tFn8u8>Djj=UQ9ju_ZucSL(n zyrJ*(NjvFI(fxZZ2fNWixe>l6sXUl{(7kNf$;J+@1vhHmtrQ)&&^%udU1)mxuUN+i zdi{74JG_Q}q}+Zx7y7VnFON%UjoQuoDt{Z=;mDPt742l5=iM3gUA|(zGp9AtDzTK( zT~lIx!AIiNT+TR@9k1e>P!E?%h9;ELvC)5{&Br>w*RU?t8Hb~CbI#aV8CnNz=hkN6 z)VwKwEDzh)vQyb=!P8r@h23M75w_Gmnzdq4*-lOe9-iT|RvYn}yJ zos1Vu0C`~`xItvl1JLO&XoFn(VEJtQo|V3=GJHHf=MH3JXP%Cb2mi{|X_OvDVYxdr zpf6m_@{u`F@Ld|?>>F4UYO;sLA@R&iZlIGy#zSG(>T6x6ZdamgBe-GCh}|gbaU|2!5L4nSV4)J(3ykvI3otCPOO=buY+B_T!`k zurtf>GAg28GeeNwK&G$h1>j$^4GO8j1&PyHqm`=#RRVCMcv0NO5#1(eCfQ%O0_N}S zpmkbXPC=3hS6XnUKZ%$Q+9KJkwGurcI?Y}a+XwQWX$jc*niqr8uYdxde^S|@3N~3Y zky9p{S2uGEe#87CPh`_YH^T`cr6bY~ZKjxCA|P3y!E>dvHUedx#u}Zb#PAp>esH!L?-l#N7i`foEFHor2VTlH&`1CGbX;c+El1;lT07+~Ac))CE zJ#dFH;}Q$01M+OSdBAEwG4Rw>;W3UT@flTW!@!CajWT=!Z6Sf}30()YvGu&pkYE{M_G65O%wjPsTg4MvL#E;hk z30aBd4-=SoX94((r?b4^x8x;jZ7GFoLT{`^@AIcN`FH0tB#r6A0`&DHYZY z2t;K-G&Sc{&2mv@;OsL5(no-MUNizE%cso*=%<GSTn;MW^<%g zby|tvQqBUZo;E8;%!A$^|H5#ZJP^vHO-!R9I_>Nv22o&BKw6SHaQ`x=bG+hA&^&(v zbksQ8t!@*jC5{hgwc2DN-qM=-{KaR4vdvZ|;xYgV{4ohIC?TNKKZVrp`NkOto& z4ik&htikp;ArVk%*%=L3p@%S~*l&@ck3ufNZKYll=(-hJcd|w>W4N{arX|vl4bwH9 z2%Y&e!tPE8F$b`o!;8F=fU_T(0%#e|7|M6~Lfza!j1y2E&;hVJqXG+thyeZn_rt+i zvB+>^>#v2&y15`GINMcwyC$(Uc59F0>5Mb@Qarw^=ZIFYC_RDuE*m**oG8zj|BXAf z#@(VUDkrBkwYIRbIVprz>u(XzudJ_h_7(fQ#j6e4XJF8WPCn2Gap7+{rA@qwr!hDo zln9nRoBSfx8UZzHpdDIsgZP>f*($*&lyYNyCVU<13O!RYFawUJT#MFxQzg3~wadrR zxw}6d$lz+=^i`Tlfkx4OjNwSba5c)ig<%b@-Dd{XiLuT4m3MLp{&GKqRV^4&U`^xkAQG@4ap zP%`&yocbHoG9yLuzy+r`Ff#AEOf-GAIOw(578Hx4oyup}kC&7)>HOP#L518fpH}{#-+8hnhVM~u*=ND7zL;<4Vs_G zmR}oCgl)S@tG{u$anG22b07JxyD{23r_8Wzv98KFLES*EG5gDdTQI8M+btc_j_Ygo z%_Oe!902ok*IZ_0`-**mEZA2HR=5SN`|(skHLePOTWO2Je5U*HZuC7#^n8wjHJmTg z7;cMhO1NU6G5mIZqRMk$xcLfvaQ^qvCnE<}3bMFLsR)<4U>!<@vj2Cm%1sR&zL(QR zQT3r}Tp^F5v1sl_TYYF}phE7;(VF^AP5QgrcLaBmhsB;{b`#7rkF4V5Q=6wFgUjqY zr#3SHu}q_*pa+~ujJ!T}cGmM|%9|3~@W%Iln)Uw$a8MG6;gdiXP(EJN$~QT_^A7SGO=sh|BS0<<)kc<=cwrLU>37y_8q zz83Tiu?&5bdY?Y-Dn2{06VzHaaHjeF+(r?f)ji}XdFWKp$#DEaRRL19&|x^^!8(~ zZV;}j$9+Ah0b6g^G4y%(^iOs7G4bGq|n_~-eyjk@#S zwQuLj`Zh`AyYBl);kv%bao+^KajD>V#I+fC{qMl(>yuz=hvvOX=kaGa>y6W3PV=4? zx6@YQ&1V%_wU%AQFX44`HMJa^hxYx{JHd=P*>Hfu_Iux_FX1oSPe8d4EoEBxz#3Yb zzyB-2e&l_u?#2qZ`(H||qd2;M9-2w_o{S2t;Y0I@tI{Oea~@xnV7Kpa=Nnpsu1Z@z z_C9+Bsu9JFgc`Z(oSspesU>m8ksm|HzQAduL2z7pU~Kln6-$i^KH^P_9_O8n7OX6D|Tt zbXSJ|7^}Of95GB%+C)UbY1d5uO8*1JENCtMibiY&3VFdORt^q{9jlUsKY(m6pmV{1F?2t;XO3W5ujt^Whu+ zE4lmx(r?8?mQdA1LnCaqVZMf7L@3A-@tENM;t$_ZKVjLEabq`o^0*KO>4$+{>kjen zr)#FvC|e}e$VQjvx~SF2){F4=0o&z?&w@h4bBuU7?-)XGMZzKt^v<>`at{Kq0~%`_(>g`U%|tP%Y}3J z58n7;pyU2w=N50jcU(RFInN*97x3(<7IeV=@9p`-tPxzGGy32M!TA)@%@3R#Zo?TK znik}kzO?VMR4z@k4Uz8TJf}Vts&H4a4P}32J<1k?W7{~4I>f>|@YL?P+a0q~S$)^g zwBgCj&_S^?-zNy@BC3d`CfUXCdzlft?WwW&xgVf<|hRBCwNzmweN?*Jr;XN1o>EE2nwS zRQUs+5zZq%b@&A|&fWd$J1{aaF=9G}L>mFl%@iYw*EqtNcgy7pAJYKe^_cuzKKz8w z{rh12g|2bjm!BhO{TYt)C&e_ahbReBw2;RC7|=yqA*5eL zJ}Ox5-CWYX|oC4COZln*6rhb2+r4gQFjidi(VX zh=RV#y{%8P@WkL^=O830v-8h|Va}e@`=O6)r=WG)jVi8$t{Pn~$PSJ8zRI=cw6_<# z#HrliQ9U?Z*+TvenE`bNQ3nv~wod9UWoujRxy^}*O5wBQW=KQ*mIe@pRv?d$mtapMJ? z4;Uywr~2{*K|J(@KhONRLI3mYmvbMV*EaVZKmKI-(F%vgM&tY@;%#ceqT8@WLD*(>KJVp9?$#=ZwO1nUH8_bA@*?6Td+sdUvE#IK@Uw-CVcxcP{JqxFw|YS zz>8O0Rxo@HJR0(8;s0gtOUhrAr+63oIT0`P#2yOyl*%dJgHnPxrr#TxjSqkgt*SgM zJ}~~u{dVD0OiQ>eZ6bv-Cz%Exp{tc#(l|0D6kMQ_as^*rKlnWLOrW`3`5YnZ@`ThT zuMqZ@Dm3|`9r4_utX@7L8I}A4iZnG<4R&T;RxndFD(-NIf?dV#oO%ZLJ|ZWC@RD6j zPer+4Le@Q2@DdRNOPt(N<-Dm+l%;Vs)R5e79>QWxkGWYy?v&c1gi(Fw~Cz zel684j?4G6Rt_pFOSV(@v__BaJn^d8}Sh`&+#zF1C7aAD6#- zSHZ1NaVMYl*~3em;^~7@(Mp%zm~XF_%GGJztyss-S<=b9^|0Z7L!CYnw2%|* zu*n`1HM}J4(}OU~4%|*Omruh(xUa{zx%CXjzqbGG37{< zlv8`J`Kj^HUa5{3F4E|L_$q~@YcFZ*H4_^-E?Alptb~hS@ePQW0=E`q6u5A^U5MI` zmv9KUw?4`RXVIBN^D$PQ5~-giLV}$tIi9(sb@3WD+ZRBofC(hHfJJnRrl}o>4O@ck z%5N?!S)pfcI4fTxvr@#6$93kwPjB(m(PrM5=7k4L15&#(fXIZ$d8u12oJ8*MG(O7D z0gM-)5n7AgD2QlGDYW8#dcrrRnMO(uE3vb+skj^#O1Q)#3U_&>IRsi2me35L%8Q5s zxL~s=c|GQk4iz6~;O6=Y<(!wOf0p&|aVafMAo)d}_eB}CO7pDf%i7()NP*UFEc6T! z#40}Mzztwd6YIG~wIr=f(cxq4Rg}Wv?qYot16ou${*~|{?0glq_vUzEh)dU%yt0CM z;Z;W~YCeWPB#E3*BsSNT9QpREEz$5hx{jU&0QZ$CK9Ha|ltaxr<`rEvm0LrX_z*zN z#9~WvRC@3b$4P&fKqIX8kRA(m@i?V9Wpz`EJ!c*TVK=1pOaFC0o#viTmWtvXj|+ip z06Sh1)p=!#oZR5+K5j1vZ>nwCwJKLRed^~lXuEZ1#lM}@BTO>S8?UKOc4#0P-`f|BlSrl>=IM5tn)!@k?8M4yrVN&!Npkt z1LQ?TFNh&HT}aG;ET?Q@PVi6!ZlTQ|f40dGBrviL!(yL;PT6R}wSM;ICqoCJDVfLnKE% z{WaC*1${ceRkD^h{&4-P|5hs%MmZ>FP+Y8q>cY4Py0iW%PU$5WP5G_rZsmlR37Ck|$~8lH<*)!B+9_o^nSj}E@#}!Br7hTLIl)8i zv_Qb@UV-9%D}+gI2%B`3mFB6C)0O|4HFwx`N>0FiOAvIY*=3O=CXV#RUIm_x4%SLT z8EmtjK)6{YR%Lgn7Rn+NJ!I*%Fe)6Yq|%w+1%`4$Gbp z;S6%Bi%;#bV3?z6&BO*3Qxjm1&Y(qS0J?O`)IesNVJYO0lmYz)(Ii!xIlqKN6Egr^ z$iF0TIzXNf^4TnY3n&*v0Tm@qs3YUeHbhG-jKd5<0osI)ok-nkonXjr0R)JHg2jz> zI1QKsvt9sV^)pz2G=*98M{_bQHU-R(F7Wr=%=j(ogl@M&wHiYLnwAeF;CwMoi;aSf zwJ9H9I*|pCV<8`Il5%sClAdAT`3uTB&5Iad^t6UGeVa3d)5z3It(6vuIL&moDv7Y8 zCV^h$1W9K6axP}4hQ6pMl{5Kx6?TcjA#;kbU&If(+;IkRaM!7aD|Y=lk~?29SR8I}jtjF2KoW<_Qpo#O{5WQMUXQwxSulZKQ=I;AOo zi*ym?gCanKnSiL9oPcqAEL=o|z6g7zr!z7jVS}nzuX}ytC*<0cJ|W2krt!3B3YgNM z3lHcmobt4p$OBinBxQk@tDXTuhbjSD-GObLI(!VcIrIo%Zgbd=3ZVy*yu@?7<<&d=eC2(;!NWwl8hxkG=iaW&W`gP*z_`EqK>(AQfyaiCic0 zp1S5nmHSrvh*H`RxMHlT$-Ww0UvB??{gvms#4soj4xtzDVgqg?Q@$fBXkPedDs9r3 zu;|F}!Ia(_AD*UTYC(5=U6r0em^xOeCTXSsKf{LE1)lX$M}mHfmd2Vb`wBOCK7v$M z^V#?D88RHxTNMt|-Z#8l2nxUj--vG%*`FD2YrTE8bt1Ag(f`!Vje0@mhwL!H#&~m? zgrg$noS}0ZR_HLh%Eh94p`>F7?uzCCGnmc}@$PK}ocA3)RqiDmI_Y-AvR~t;dFrqn z4zH;eyLCvR11`-RzWKNx5nate-3}%=8kBDW0qTcX3i+AocuGLbE0!kSf156~D5#|p z$zV5NZZRsy_2^Ij^|m4`!W{?hfF{2YlM7vy|ewZ}FwF7+;?!iDbCorGCtT@O8}7J7CR_( zUm(pJsx`ehwP%|@j^HDmfx9n}I3BK3-2~F!pngK3!~1VzbP0yAwn@UkL4cb(H2=PK zSPt6{Z~2el$1qci@+-UNJAVQ1U%s4Q{xSUN|NRBm$^Ur4&=yu=$-f)z_`t6@{4W2F@eHs_Pe@wX&wS0Yi2%WuO5t>)gr{1X2k{se%>f-?^ zD}GBx-%^4woW#+co~dZlP0xry8yIHjh8hFWx0SLzGijL@qXCPiZf9M^P*5o{>6K z+ut;>IznC1&fcjA3)$IcNw3-cx~G&3p_Y2pW|B*#-6*(D7HOccfooLhY$I~|_6N=E zZW1vioHq@d!o13j&xZAFwZ5>X&*4hRdAL^Kf^=$D_blW&;ZoOg=?CDEKbz2+cRh4wWOoB~%j0rG zgKnD=FGA>1Ec4T1JFxo5T~ko!ArzOGjefq?g9)E0`4ul1wOUU$#}n5rj4^D%Ufc<_ zD6Ntr#--_6;Al+=S~Wb*ZHwAP(Etj`184dMhSw>vVoCN}-8T;mfV;CO58ojV%TOgo zJ7jRw=I_B9r{1=qU=!+6Qsr_7gxh?*RHrG;>aBI9y-L7JP6#_S1qnjrUHPimu9sM! zT%{KKih&n(ZhOI{yRCNj$QFfe_hEi0+$4@iI)hcpUG@pWw^qIUxx~7z=$~=}TwQ^s zq@EuxkQzjV?FYT(yLf-5bTr@@55JkUtsSF@fgj=GE3zj=_jePEdf0SQtBX{qg9pbQ z^fP2M)_HvT!OPg9(VjVKmG|DulZ(Q++nV1I+qt1cBGef|D??peHUBF}7>6cO2On%| zUNDvyvBj@oh@35Qp>>LT5nF;7!r&zrnn4U2SJUmj%!#mnn-0lzo7fBDV`eU8HzxFp zEYmZ`(peU6;+57327&V}d0Nf{1lxp*(SztVkWSNW=I}PrfNCCjz!U)YRdP+)<_P89 ze+q`~wdG0&aT#0^p1ZuXszz}g6H&34(?t~QM0Qoeff8!~O6EkuX=+F@GwCe*G}5zp zCj?NEMe)PMr3B3?@FIj^U6f6cB<{0l4rzv$Ut|%OE$DEH6$UE2@XeAaI&Lrf0?VjS z7zZO$5?2~~1(m?~0q)k=TwwTskm0qET3QQNqbW)S#ox)2KSA9njIx+8Rx_{~5pFmp zhFOKUs=(yGDGyv5%x<|Bi!kVU!vY)PG|L^VW;03fWH+G`qyvG-AQfhq9V`Pw1rzpL zY$51oX}6kp&Xy7Lr0*U<C0SRHauu9yxC!8cs-rOz-X9KWG-x$sSK)s1%{-9OeBJ; z*|bGs|7|D4?1Zs_{F@)bCBJ}7PBW*#rHM_l2*UnQFb88B*$=y`z&i{#^Fkajs#I!u zVvECBU{s)QIe{MmRkdx{I%u(p`N5&hjF?)(zdTca)n72l`Z-xO+4OOEoTNoSZuoSe zHC+!TL<%Bl%}9!1c>xzD1V2o%6^<)S`+A57H1FLC9B`@lX80k$nK`34d5Z`qBY*sv zH1N!ICMb>T>8vB6$;&6{KoTB-f zXrOkWwA;)tDx|v;`(Ql7frkbh#wce4zEc*?I9ze*2+-18RvB!kLA=l!&@E16(q9&C z8_gR49a95`Nuhovy(g-tav7dfyEoaIF~PblOnxTAupbcu!jk_Llu z9q4&r$f*bTd8!zecIYWm2|P#Ba10S|aVqb9zpJQbT_^*UUG`e4p>;9a3bXH>(n1nGo%`9h6;?5dyPt{2V_+b z4~GTujpCCVyy|47z&fiG(9pxhPddw;H+rNs%p{P*MR z1Y5xg;RaUhXSK-f6(RJLa zHev-M7Cdka(+uvQRWe0kk_aLHY zUcO!V%JF!&STC@V_E)0pa5q1#6ii{!UkFN1T5Iu9;2O2^oxG%PSLBKPWJZjK&7%_8 zmsOg)dgpE=(IJi=?v(3W$gZoT5^rfS8`4ZcWOfXg&j1oO*iO?x6NwqdZaN~T+i9=W zaZ4Cv?=_m11LQp%Te8L>CFq^8;;A6s&HPR=AGIgYjMp_w$n&X z`;ROgqTX4yu-$MyGhR5AtB`j?qPSZ#7amif`;HjdGjzlN-xOo}Kc~joB0aP(^-W(< zU+8tIU~i8e+=YvtIR|`^e-4$o*Jr_cAn_A$%8mvbbNxPaA31R8xDrL%3%2*YrS;8= z$BwK&-6C<(15V$n`_R zp%2iUxJO0a%fa@6(!sXQ4Hti^bG9w9aR@!3{a@t0ZB!dqnl38IN?OU}+(nfNQ3x>F zMM*C4X(@6VyVD7~RDzN$jKRdgb~3iGoR;(DK1 zu1!x@g_cq7@uREeiyK14O>GB1d+Q1xs2z~k)KtHzQaIi9O%Lr~4hf)+oARGIwyndo zKqOmEHt%dO9u+|e4hBQF@C>fRBd5i$r~~zZveao%QG$iHRmi7JC4(O>lbaf@92G_? zMhZVrK9auzp@oBeEoEwjwLZ}EO+q=;I7{gU>eozx!rQW1pBS2D$KeS_oEm8iQ%90#Tb8AD2$Gd|$ zErMx9@(<--jY{kNzX%qWpCxDGO{d;9RS{nkXm9zNoOlN9jo-q<#B zOOuqFq1?;3G;gdwS?7M#R$P9=`=SeIITsS9X5r^-H(N

i$RI^`QG5#pTz_)1=z_SJ&3ohcJ2ymlePCrO(PM89Kp(VHyCRbBB_ExB6n0nI zhFZXZ%HP=e(jP->Ec?isBzN9+v3uo2e&?zSUPp$iM7kM$p9H}!*GCnr7eKV^(u9Ah z{H(LhQB?0_oIPKJ)||O{iPyw|&|xMh_nF@{*@93j_?4Qh6rL4N$3F8d@a(w!8GnO! z%xGTWQ4MjHA0cw?F;4|XG#lOK5u*N??<&wJR)cv;w5ACto94{{QbeG7;A(=LdI0b> zhsjXra+8-+FSt3a=P{Y&ibO;$E|%p}>|HjJ*YI!l}tHv&{DED|a9w^t3w7@=q$P0$XK7^KO-d>_Ig+T>p!wp#2LrcXos(z zoWd_FZ(6NHo0q-_H@&|d8>tKw*#4G9m4QC)yR3aA07f>T-vNb+x2JajPIPZ_a3RIFtG+5sdx zY1Ic7&$n_98et7dm^<)cIFu`K7d~xmgt=SXTsVV|D-ODFj+pSG1>*!FPZ1n(jTmLZ z>QC4=1W*awTEgGVe!Ag1AC;LPm#JU_LymvjHgRR~2IAom0A&#Lh_wuaZ3@J**Ru2v zsQAJV3Bf7|@$TSono6Y646FWZsUINz3OOm(nstf<+b9Z6S0am~9gdnP2*DHuNqXoJ zqCE}pNPft{L<2CzJ46yqPMWAOgqu>D?W#(`KC%%ygsl)Z8ejqfbX@gYz%i`-kF^%5 z37|ZnI>DZ^e+(fwWH2WGc!b!|cHUoyvto0bJ_Sj*Hvf-H zt_Uj*+*g@L*N+wF&6OUOU_}5|bioR?;PDDsZfHtB{{09TA^AEY=h!S6gMo{@`-b60Vrf9*=6T5M7dUx_mXf;SBg^;c7!oLffM zamlnQH{jA)H4R7o#;kfaaYbNe)9L(YY>V&8LsyIAEyRYdGJgM0Hn_+#_xE;`&DyC4 z$TGG}*pPS@Y7ATNFTVvIJHtKR`rvH!dFD~4xBZkW>K$)&JzNDA+DY=6KpFpc zd`Wxz6~=Rx@4UtD@8imkRG+41&rX+g0xyM_W<2XYo(;AjvWQzxMdSSAB-^9kG144O z$_2zm{+EzFnDLVYQ97r5XDfx}E8dRWlu*(rkmr^u&}R0oGk+a38d(GD5)pg1{S%S$4 z3U50j9SlV|F|!e1Tepxx_GEw_Anx{+O!26Qe2$en*kcN0yG)Sh3&&+_NkNaDBiK7r z7{-9fm;Q?)oAh;bc?%Wp0%QTIR4HYPu8UgBpBlS)hGuicD=OdLcHldY6P~lEIkI_G zrftnUm^Qa=Mq~mUNt*ajZUVV{wGr~f0ru5Jb_Tuho#H2i!y~EANE2_IlTkZAW<`H% zDq#~%ihcCJhQ{8J&ID(Tb3ffdQ+{6Os*xM5WWLS~e@^LYow+`3f?#tv!C-QU1cB?x z!Q^&D!A(;o1WPV9^8!T!(qh3JGSiE$hgF0!6r>WG_)QE>U&Xr1d~c8w zm6;qT-Y%(ml3k4}jdH%OfUTF9`VsdsJ0cZq5v({^Fp0R5G-Ptc`%|DTl$I*hTDnzL z6)qNR1-_tCda+urS1Z|I>vPQ7ENCd{`uLl26K5f!P-k&nQJgNCE}}Ll7Cm3CKXHH( zf6h3!m9pY?Gzi2MUeMuv+;Agj1{O(Y$pl4DL!E`%j)aZ04|1A(I4JtGq{L~q;bDQ} zEedm2^j_&XmSg4weMHt-Wd6Jzw^%=>1?M#kP=ySNz*Cg}Ix-vCGY@u%4k35ZkK@K7 z+O&z=wII#oBwIvQCS7&LZMhfJIpvHT1SE7DHC@~6Eh7uqGRbphuG+5FUJ#CC*a0em zBB^BV8e-qsjlAuh(=!h)i5J|oJwJHRNBq%m)DaN}_GOC7B+}bL^>pAdk}6>2$F@+r zR8I$YsIKdrUWi;!g2UV^q+4Wnb79pPKfo@%*EqtLXV`K+WAhPfbf{!R+69&}_ckzw z(W*{0KH9@yM~2c^yD}yLyt~-Ct7AiM zo|{#)WR13@wTbiSx|q+o2xB0vL6vI|C6S#v8yT3PIVg$J*64^DIRx-BQIwP@l*0u@ zA*`oj+DQ*ei#0mgA_05@xzP*@0Z0jEwZjx;2~73e2Zc)-mQexr?6X;DTsWq%n#4vj z3`K)Zk_d z6qC+r7l={TLanm^#EHW@0vzGJSJVueR6MJwG%cD8wGyx6!(>V(9&^&2S}|Xn4=R#l zAe0307o#Bl2x3ITh5#=IfWQ&axBLV|ZQyu$4FRYRfeJBKOB;C2zC=*NTl}W`{Dr!( z6*9OXHXX;dxH_pOal{bOb{mN(9v8I{KjdX&iZ08M5pzK!PiNvpPKGWhAMh%a+Gbyk z-Yd)6k?bIVA)|}6(mPfk`?ZGikXp+DTmvqm{q#PzCdua*Q20I#=7>=YDBxB(UsdL>IV}!x_$6X*66rh<$N`u&gC>Rgardnrotuw2YFtAcjKz1Rbn)PYT;5y1IEeW zEXPT@$^L5pdO!!ks6uCv^fe5@=_e_(p0nsUq9$8)a*}S_G2uj+p3K@P#0X!$c z_$mY=4+(fhdd+1?HwGn{vK~?d5OCjIf{_hrHn9*1SPuye`0#^bjp2aEhj2`5+UCuE9-VYP-lclu)J3tVi7?SJKQz$D^rz@p+0FiSbs0Z-)UJVxHocs3i z3>cILMP+i%tpjl$N+AT$er)3utN?h{!oqR#0FZa;RioSl1XWB)jG>FSwTNb5Q?N8! zdY5Q{5r{P!$Pa-tw)%*y>fDA1V9ffk+6k#I+7lwe9J*W9DB*jAX|NYj^|WLbSwbRK z9%B7e0Ca}oYtz8M_G-P_?0R61=|pytEeVk@h{{PVa3*Qp zq&cibB@(BHlUk#W$dG7-D$F>C({Ktjz!8DfHl8$~YoiZ;DHVn!%B?>q$iF71XhI@S zy0Dp#gJ3`p;6rcZQxB*C&H=%cf)Xx*w2er%fbhN$LVO{yq{g{kku(0<=fmS634qw2 zc^mm&`-`b+N92d>tcVh4a~CE5_gWq-X_lj3Al<8YT#Cy(X;}z0-NaP7Q!@XjND7}y zNJZ6h+AOGo{^^ns-*cGLNoBZmOgX1}io0CSK;bQkKAHCV-7OFmkpZ?KP#EA(1^B|d zN(0v`F&ny;y8BJp2s%gNO^No;O3jq==S-3Kz!AFsZLzBb_m}1dw{#{)^Dt$4qvg5L z0M4StwaQ#zx<%;0FGv}7v(P9HB?6`qa+~CxA&Wxtj#kR;l1)4w<)k-|Krfmpk)Db% zq?C856sp-7ldIGxiF9q6u2tIj6gB3>NwVubGDzQKeq;PISu@PQzp$PO!%Y`{Sm097 z$O6nQzx@Y4O4-Mq)jd2VPO!W|@PY`di$&2O#w;>X!mNyw0&8z0vd@7=5jdAewLB^W zmfR>KPFsK_D2B7e#l=5#KYE)ilhkYpIwI|f4)(_feiUgRots$|O25lh`IfEXuY7PL z%7e^z@aYXr#Ya}B=&sug`%q|GW@iT}h#_2smy{-uxxYU31`G9COYwr2P4z_Wi%lt8 z1KE1CN{K%JZ6fw-_Er#WI9id~THQdc@KQy}yCR?}Dm9AFt+qz(UsH~sL$FzfUPs&d zKtRm2LZNM7@|&_{?N-)yt52bN)EDiYYCw0kJ8M1*a4K}LMgj@o6X-HpHsW^ptB#%} zzot-K^4q=!n%8)>3a_}V&qm8S0d8G93Vj{IP?cFluF)C5x&`Yta8HI(M!{O;S|hVQ z$_L(!RE$2d>KC$Y{iaWZRUhwNkx(B9?;k>+=HBv7MO$p%GvY+%*Q`SriT;}XNw9;P z)leN|SA##PaZ==hoI}0&snMDmpS9rQCRSz~auh~wZ)z)FXDg3>#ZKK4ZpW2lbKH!rm>;*V($ni!X^ed&zhS0%bZEi6&;=!)<`JVUq(X9I#|rj#9hPgC zl%+nn3Iqs=mxP^im2};^C>)hH5XIh=zuCmr6N;~yX;wsYBc)jI@8z8g={XtPz$K{O zpdGSHqCErnsE8=@tV)Xu(zy5-)69t_p+-)5jv8TO++nJ-iwiZz60&TX5v@F+i7|m6 zLEC*48Krq`6mE|rjK;LU@=dek4(${z%M7jLDd{6!IkxoLuqP|ctlL8K5_|-M*3JvRBo|Y6E6eYWFj;79% zx!Cm3dPn7)(E7|6zd710b@fI`b$bb>;U}Q7fVoKQ6J+nCY+O@xSDB-Q_IyW z_1mtRmUF!WV;%g}rYkSarGM`M1-h5|Xwy>T+)r11W~k0;%nHV_UnP{n+s{1pcC1RA z7v{<*F3vZ7jSl>hKe0+WijUk@dj^Cx(y{l*?aXvlaJ~tu_4@g$`mab(q-#~zCmV>{ z2TCrk4JDyF>;8*bV~+#B9Us55ApFwzW_eZPzioP^-q#I4?kytF&7Fqsh+<+@mCKY0 zkfa;nCT3qGp;+8}owyw+Ij=&40vAuIuig&Wd(|FMJga6#9^yJ_by0@w5Y$9}s@!QS z*#>!0U8YUjmFO}}3H7D#ngNgDXW12*gdEEt-0LXsf+p=ZgE>X;1@Q+6~M~NRMrU8Hd!hVW-7Cr3xLQUJB z4HR9VdsagBqNrt!v&Yo8%03p-9GF&R)UBHv+;KIwrcjU-?WYgnMj<+vUZz}?Y&TP3 zZoubQ+t*p4yyJg)uNi`0fQtnv9R#2k7VlIE$`I>G5RhqVv!cn{Rn4D-<^ZcxG+7q; zsDKz?1Hf=+S}h|eSCW`@sh%UiGT)Fo&EKz+Ao=vyH5P7ApRd8kocXM-C|8 zB*?61M_=Z3XaPih>rQ>1X>K&z9?x!{?^z9HyL;aHCG354a~^c}V|j(Tv-ywZZx1I^ z1;yRj!}$W*|F?(3e0wxcetR%a-Z>WIr&lAZA;!AOmV7^3o;l}QHj1769My(XJ;6if zzi9lKEZ(*SUba@L(0aSsGWF@%?hbKWUU+^svMS2r3_WaURwQPBo8-m8UH67 zlwPW}AH8?bvsJA9`>v-v-VX8h!44P&-#-4tn*LL8S)&g_GVIO+1}6+w7*sG$vU8L{ z=FV!3n5BsP=`r~)C*oTQ`4z!l*x`=Not(y(_{L=6g1o}V-%qkjJFb$Bt^#>;Y+k0n zRx)L#g6rb`6VjBHgxL zDO~8vyi}%aQ%*RPCr4s$W3B*iUaUwss>EtE^aZsdSU*mva*%_{oC-(pdtI zM7Q(sWzxx6BS6k->g;)mp?nUmny8Nrv&BvnB+w>cSm?2&wDBV^o=3giGAo zTzQtzF;$Y@`TA4AnvwL+Rlr(UgAm&3?^c>xGB1B@?t~c!JkPrGya<%Zsd_g6LE={ zp=uBF)v{R_7qk!iW%X5Xku&sPBKKUsHrh_}>4OE>{Ukp$k7wl0vHATIGkb8)f;iGr zyHtImuxCHpz}XjO+W6~*n*}cy@b65=?8jIVH4$Y!#E|+2&d@*6xHYwh>XAlLxL=`r zLQO-yr3b|Y`no(Wa3fN4uZpEAatxJaOlKrRKlnMHNTt_9QPV@RV!k`l4P>FRz)}6* zymGf)qDV2?iUC|>h)}1~43j9{#Ot?#zWzzwNF5f!`XbSMxRa9EGZ?@S{|x&arFC~q zRD%Wp0~2=@GM7GmlbEkB5GXTV>SE7`Gs?D^oO_PxAEIOihjF!wMk*&rIUty#w;&7? zAL=r%?vzZIV%r74`UTEg!pPUtT=5s87!s_9d8)OfosFkhfs$uY_v2v_oFe*(H0b%;hk~_i zgwRe(&JCi#@6-cwLg)eWD+vi8M|3Q%V1Kpv3!8FM&sR&#K5w6*g1PP^QeK(hiwp1i z$I4w5>)E&6?f_{Lt(&T=@YrT|GtbIC#q62oW@+Q`e-zNf-4rMdGB2SUgGp$nxdZquJ?Hg0- zozcc=|A>k&c%0M#JtvOQvPr?KwA_5@L0az1<+oPH(D{~MZLwVFG?6Pa(b%d0^U1H6 z`d^T*NTu<|VlJvmou$y0Hy(R_dJ|tSo0`}L^*S1-uk-D~VGivdv)$m^5}&cjOyTrW z?L1Fj_biGI&Oa>d*t8mj*GuglAy{{E9a$Xp0VZ1tvp7)c6j8e&*gNnV43e-Xc!xG;X1Ys`{OrHdhYPzO6 zb8GbEJ6<$`{YhDg0*O^is>C^c3e~Gda2D7%RYtN?Q0!#a3oW#4Ifkr;ZL-Gr;Y>TN zCnLHPSH$$RiYD#uSs>ZE({z+cGr8}eN%Wi=8B-=RlT4067#Mz^tPvP-VC%gWj$o&2 zHTj5}W;GNLAjy5KDF8@QvWq4-nyb;(%t?AhklY|#G{pirSi?e+MN!hUW*DvK@{^5W ziZe8eerh(Uvm6slDM8VIAcNY%LdBa!8_|!&_*Mep(71I3pfUJ`W7IaW1mlOm(o`Jh>)lo}SorH#U1xq2|7Q>rvKzZZHOn<6JG^i7FLf8P;Qv5;jq|PXgwp3u5Eqb+aB-$>FD zR4vpf`YbJg*%&O*P7=x_71gO017{=w)r7DstO7!b5zuaA9pa{fprfoqL078fjuO(B=C!hZ(u0)@Osx_Cg0&2zp1!Z_) z2rWa6nl7!HeIthy^ao_E1=HFZ7N$HQQigLWE2Tgi*VfR2td+uQkOa&TIPY|Bs3~V1 z!}f9<_#lHYoEP9!LFXfN%;Yz%8YR3=An|rivt3D5a2i0}L!_JKb)<}FYix=E615f} z-tdT=5B@e_0s-K&N&zTVb6${S2spy)U`>uR4U}vsrxoLO46WrHSf}N~5;lk$rb~~* zI_rJJ-rwExu@(I-jWipHx@apW0Yab8T?u~wK@I5w zjxa|FtsDUvC=Qg<73s3qFbU%v*v~ca%|rCAY(xMi!*@gG7@`2*D_Xog1fe~E=QV7T z61J0i38>Tqf;Os^Hmal~LOnAaf~M4vBN#Nt=j#=CJ7UtJeQ>nz3yoL<+n*3gy&G#4 ztO?ar7h;w>011x7Z6 zwHzzcHT@z3)t!1Mnr=lQ^09{>99A_j;9F{-E1g2H8W?@N+`uR#$;u+3XCw_{(vgz{ zBJBE&l7`0|1z)2@xtE22(K5}NDMkx+=7t=&&)wm-Gy*zrq4kj1sg`QE$S9@okg*~j zKJy(L28l<`5p8t4ng&SeN}-!+Tv(5U#oUz{ZX>Jg5?S#(gM)PceMs?+QlmEksVnT!zJV1YUQQk& z#We7B`L$*wICGcE$+mO?sg@LxpBa<5eX>o-P`vFxtaOa-f4V#{iltH^MV_x_YG=fw z=XbgLn@Gi`HGYTmGN3A0I0b00v5_|>Y=br;Gf2wc&yXWRYPOiCDJgEy)I)O(Fm~>&7WvYXMRj0A)h6SVGNdfK9e$sRHjjp}ebkNjBF<&mAD?y^e5e~#9va4VmDbpJo|pJL-?Gk7t$UJ>4I zu5c9#7_E0K-G>^EeOIbna8{X$Bky)#!&q$T5mvLvTR_Cgn#sEc$jhyA{~9+22Q!SDA}jhv-^Qh(b$9ZRu~a|JVM zV}mkw9*|VzHEadSUXi38Ld&_R*mSl9U{uuc8>h*=%BVvRCf&DN)eTX%BUsi2&6xqA z-PPv0U}m#+8%twBYn`z!p)~!#*f75IPCD8^b_Dlxk;CjVyS2M~)C*n#dFAgB4M#fs zzX>gnApd_UYf=nu8wby~hCItIdwYX!kfUId&=l%U^wZ!@ zvJ%>Q8th4I%dKv3E%{_;N9hT==Zr{!H}vl1r#+|HIc9-uF2i3znsu2oihnx6h04_LE$Lp&Gkn;zh%T>kUZ{iOfa;irTOS3bGRSHb3g;Qi7^ zL7T|$qx^}$-@STT%sTRHp66j$hyG5fP%f>C;@e8aSkcojDO3&IyfDclv-p zWqJ-mp#nWGOj+L?m1xSSqdUPV~fzTiSb z-e$U69=d^v7Wk3~;^#8#!`#NLWuUmH^E#w}0fG~&@F*j7a>N{`2i1L9KyAAW&c;py z^9Y71vjsI#)->U4Ea5`3htMe>&zIWw5SV6a&h`+^k`fV{iM~`$b3D3P6(-<`34@m% z$Yczr7;2DasP|PwY9rMwm6B}DL<*L|)sQwYDk#7wr5Z}TQP4)U0K!mnR&`LZW>p5) zlMoWNtC2VuVLEAXJ44og=HBzfp6|U(T6aIOhv`mKjVzl?d&zb?7^#5%8`)m^BDq`G z!+e+Az}1PrC09zLRor2PO3LfWy}tJHU2j#8#l~fO^i35i7jmiBqz&8;Lb-GF{ODIl z$wy|S*m9D}{k1bg55Z_ICe@MrN%C)nNm~51axQzkf>H0#N|z{9G(t=Oa861)RqTxqpVJl?cOrjIpv8^u2L=1Ek?4-;FKIaP)W z8%tlrTuL48%lv1t0hD?oK$-qjSZ(!ngVg3NHdt0`8qd9zN8fzF`e@`O^V$QO9!(UP zr9(SFYaKhx4bc8m1RS7U)<>BS&&q3TMV<5hlw8j~%7CxP_?bs{JR*PPbl^O$EOm$= z+M=WChQ{3G=#Y7rDR+4)Rd%eovZPo&b*vIGucU@d2Q>DC;)fZ_T!AE?99~{fbUjS|25k$G#d;8o+t{IFtco7pzBM{$;HrjTyyHzN@}> z(oHI92KOxa=?Q8nwj`dV;=-?5Osh`TI}TcH6Rz9AC*Nr^kGUJztEFw^sw?+aMA8mJ z(51=j)Iq>#&}g3HFla1uA_dj@pk`BJz|r8Cm1^ehynBadEDHdwg(1!qzg3;1H4;fy z+T&zCrqikkWF2#8RjYIv2Em_a6e23%6Mx+XGZBc1WysfOAvz@sE@y@5lp4Ka(MsST z`RyATpgjOd1om);H~fE-jI%Qt2;;Jp0f-T@eN6uK{a5~jObn`+##t$=nh6SWv(opX5P(SRISJ3^ zM{<1~@+gtJEG%s(H8&Ft!Z)KN4==~c@-Gu}!MBPkvbguN>7sfY=+fEJ-g?_{W$uZz z_=ENVWsU)1J3!qxaN7VSzXN;=!EYC40q?#gswH5Q4gT3ZJ#)fJ8ytjuryGX*{0UIE zW4OaM>)icn`-YM>d79lcvb2HoG#h`?zweg!`+nXV+Slk>??BRxO|xV`2nxj6SdpojH0c8xtAUL)i@E-z8q z=unFtzmtL1EpGPyWtW_PSXwUa>_??*ag^>_F2tjcwaNU)C;cHx?WS>qJt+1$b34*{ ziRz8C{vxt#jmmgd$}{=Nu2aWmwp>x(GRCTB4j$gUN-8Bkc&a^c=!5T_rSoKghzJT= zq#BbXNQy2}ZIRHQ7x90N1|^0XJ7=)IT7m{K*xQ2QjY?cbI*}p^b)W?8AgWq)h3k$Q z==Wuf%x18~`zU{+N#L-9SWfU<NEXwI;Hf0#XK17tiDE)ryGpS8+sP#R0gf~Rgt6f)=P+XC(ft&TOFhyM}^o%_w zri$d}Bq=Vb#;z7)>ZQ@H{AMOkO?OEzD$

m^86qg8J=AWx6?kg1&Q32X9>VN8ya# zWHXn$)cA~fnN`_vbfC{wya0@CrwnbwVXkM+_reBN3YjriSf2 zNrBK&QZ%6?A%*0vN{&m&o2DRUj5*l#syiV9b*}^FBv}$V!Fb^8mkVD%HUhT_huV&KIwKpPQyJl=G6nv7Aykzm}JVODwXq`d2LM zNdxskPa!Fy&ByGjsOC3QJ#3t@ar>#)1+q(E>2g6!)VA7dOLRN#X{a6-r+9`|HAPdD zD!=7%BGt9A$3j63S`yu)n46+p>{(~OjzzguWy`e+$Py|S$S^l1ZG?%JrbO$FQzC&| zH03{|4G}yBdGa_N)^WHOS)iP=gU$TS^W+TN#l><5M^zI-ePdrUv6X6^wLD+wz~(eo zLC^%`taiBYHERg*r%i$wXKCe5Q`wI@0m?>mep>LMd9>XKDDFT_p+q=(WQ z@R1B1ZUtd@*+Zupw}Xv3IP)ly8MBK!{j+t$)XA<6c9C__u?Z3;gMRX`IYqrHxjRrB zyQrS=jPgaoK3waXehbHiNFu{*^+qR@)|Os=2jeQK<8#NjG=AB1S_-5OA5(v14A^^` zqdy}S6rwf4<%wg8qe!)1AI(P@b^~9W(*K3(85gFwYN#z1FZ-kn$qcu=Pe020?%BVt zp_i4kZ!27xQi{hAu}8q9ZxcHVh=87X@(eelv~ZQ3`*m`S-lB~mNeeVfFij^^&YD6#0{GypYD=Af12zT2PwvO7o*9i)Za8oI!P0j7#({-Z%Okd>&kT^nD1_+ zBFx>Og$8(HuTe}$=4p04#|^W!D#Nz&pzrkyiUx;cKm%}C|K6iJ{yYHpNdO zQW=Tic*`VFYMd^wNy$*{o-MVOt6rZda6v75gl-Fza$+BQs$^0-!WWGZ_SBk5JjWO`O zusA`K%x37(Wpdf;qy)ae7}Fl*u8q`Xp^=**YUy!>NKPm@27)#Q#fV}yghh#?SVgaJ zfCIu^)znId4Gbv|{4f_bBZE3DVN7Z&v4*603ww%1{;YVx3B%`(e`R|NVZa8-`1A`T zI}IgP^_&b?rq(8DHHGLBg@E;0qY8$N;-FZrWpgAj2?jrFjg8RmQ}%H}EI?wwuDp8i zR+OPvgAy@}YG|9JC&(T)19BNqhhUH{i*{0luqjMTgC2xZ*ogkyBDiY+v6TmCOmhk* zAQtJauy)X&dmo8qHV131S{ln-2|WS{d%K|!0XB>jy$G5GGfKFT4wK2VtW1`WziR@rW(ovS8s2z+s0>ZVh)=xgH3tIgD(= z;utHdj3uKCk^&?oCW8P=>XmXq(BIEM1VHT*z18f~1`S=qa(Z%-WH7H8sXEE|9UCMU_owLA7S87bzy^`)Kud-l>i+vLzJ6VJ@fE=X*K|s zJIM0;Xi1~#hcb_x5t_c2+}*0GsMJkl3gjMsifv172$p1-)A@Dm+|_TEDiN zs8MA})<*7?fVUgbK8IMnLgm~=gRTS&iUV;NBNm?_#~>N03=J2%0-=q@66aeiqyZx9 zoBjvEOhW<>Ac4^kKs)Au;|qhEt%ak7JGWxe*8o>~nA3#e+6mjIg>GV4R`?uUjn1Nz zEII~mAzAQWgg89QxX-53jnIT?#t>dt>Y^UpJm=*Bya)b9SW8#}BClx7l%BRvAZeXl zpHr@FQ8e2D3|9#YeEJ&Qdf|Oh3v>`Z48|ig){{0Z<@0IZngY5q7(nNQC}o#|?v7|@ znh@+~l;%h)eP8Shq!Z*Ye9<|yzV+r0--DS12CWFqph(mx+8o{q;#qo)&@vjn_YD+C z!Dr+(W|ER9Ezg4X#xxPu_Ox*llCvoQc^FRAh*zIuSPyz=8qNC{1K-6t40%_|arb6s$^DgEmC!}g)J$FMg>`F_e z1hYadK1aGbn-bfU<|kfAa@2i!7i>X~pNXr+&J~sL|0rKsk#=5-5mH1Y;?zw+bx)gi zl%Ax^#f2FzPERt%4EVwCr&2r7vf^IbKvZ{m17gN68(M^3v-#dgKrL{0rIlD}dcE2j zL!on)yhV322bFp|@k&9jFj?5sgbUY2&I`2A=XjrC&vKjO&JpR=9XxlloUkURY|2ZF zTp1PJV2s@%RqiSD%KCmRyw@D|jFBaRwrGyoPWA8h%8m5K>iWWd^#0*_ zWrnB+JL_~JPkGF*n#L8%=Hx}9Qk+E9KF*nMLn&l2__MR1mdK9*Qo$kq!sk_+20JVy5jwjwDetY#k z^DIS2S0lV#51g|vtVryWN*@$qs#}bXNR~K!9AlYdYD@MZzl&`yPUvo zI+(D&LiJMKg!NdQ_Ktf%tKjVvwCz*l2@r_zFyAdNd67EoU(1kX_C4rPwv4JaI;W;h ztDCr&-#PIO%jQ;J=&s*dvR7`Gs#ET^1BWlP4|RZYg7e%__W6U(UnEQ&{`QOQ;~kqi z>YYBP@%anRi`nP)i!OFY`-PQ@rXRR>j7OJKR91K4AIjVAY(0Js*>4>b3kqKcjkj8- zJYKw`!;_-HyEn0VK|FsO5GScS*8TJ$;7oD@%Hft3CtD|Xo=tHjA55Pe3c1}M@KBi6 zaKu$E{C#JoH`d&0`+Z_b3S!%Vgein8J(U-#PKCVCf|>UXywJ2-`im+Q!u~n+b0)N7 zV0P{;Wr6%O^|@L;1)$pJZ@mhjf8T}ZKMS{@CO+Di@?N2?ramu?f;fgV7Cm>c;uk5p z-d}OCV!VD+eSL+my71hEii_Vq*RzGw@ZuR;z4g`W(pQwL@ap(Ccr+aeRM`( zq&l$@^T3d{ar%U!c^wsr8j9d$N{q1c%?aEz6d(!9yx_vUM9&D%57WI*#LXl58oHQX z*J%I?YMQgRi7l%996V_N&gM`DM>A+lwj2IWw|}V-vNNv;-9q6lHk7~ILe*PAmV+3; zQwR3SE0`X@SE$=oRFLeNo4aidy%Kw!ic#;p`uZjy@z#sI)OwV45mE>I_{&^eaOu3z zIWhI&d;p4qrGw&nyq8>^0*C3Wugv(=LGdAP@zL=M_8ZcYGLgAaun3X}6XJsLcM<5K zx9}myLkC|`BVUlyq2ua@nSim9JFZr%U{5WtTu>v|jjIS1#N>x?{&lc+i#g~mi*Ky@=o2F)&+=u3W$}kz{@qpKmSa;l)hE`w_5%AB zTycI%-9qeZ-aa6?-UuS%f0Djindu-_WgV&FaMTgo#7sR2j zVH-r@4dXJ6(meKztm8Scc||I*8Rgp!*7CR)VO*wiaUgny;SH0!?E<_4ihvffq}lah zeN=01M?{)X2BC<5N+)SL4Wtq?Sm2tnGK?87FyteK$Msb6~hoyW$C#zSz~~8wtM~uE-$QxKfby1)&FPK?y@Sb|Njr& z_WvXHFEe|G{5x8Ehl)H}TLDbuj{E=f<_@um2coU?r#8~e30V(l+IKr^sA3nhjkQdL zmBB1$H}S|$r(9{>{Cg|i>fF3kN%L3St23k4nUc+5BL4|&IWa1Jo%LWTQ*Q!amwigT z$>7=#WHpify{SZ-msom*MVnVI$*2EaDF`f;%MKfH1Q5?`3h00$yBEx&o%=~yqU8Az| z&$dNtTd9Z(@4K=~UT`c%^#$z}cmrP`q9T62fbza3uAiw7Y>PC#Le;jl?586xY1bFj zX1>e%^c8TzGCrvm75=L8@rzH=mqzl~3Sm!SZNUPn#xeU?XQjApv~WonahFkDBOle~ zUN4;DyN=<0PwtqP=s82Q7CyL2^wgoe&}PGT{Tmy%O2y2ASk3$I^%^=oZN_w=X^V6% zsw~mXp>8hxNx7cL`F7b|X(lK!0E>TN-yprE%ga8gHKCMnrVD za|~Oic)AODO7A)$SgGrRzQNN-^FA>{b*>VNVPeT9ywUi31M734=w0hMm^0TgcWs*? zqx=LyK$S$tu+)D6;@KI?#;fW2m1d;~eP|jI_)+DT`k4Nrn_NoZ8=j zroH4Rlo|l%guw?1lIWol;wgznRD7PuNd9jGRLC{UwK&kSukadO52sYr_K*QD(Jwxp z-c4VWC?6eg5vk$iHHuS0ChXI8olyehHTteDyg<_|jn2ZUBi4f-hyo}TauMcvX-XCS z234iSSeXhRILgPenf zx}HBqX(3y+n*dmfyeHq;$mOSyThI4mh1`d3@YC?v_ zUYZDZQ6)>Xo*pdhWR)bzjPazUKrIsePpF?a&w$;VCRt;k-9f|y z>=M<|VI?Ip+oZ6hYB6cm11>?l)-dJ|P}>WkuGJ$RW&!_*Tw%XPm@QpJN);dUhCu^^ z+(_2Cj5hzY{Q)_iAN$xAQRmjH&6E>_Bb6-*dP~{KdKW0>gKES#%dC$n3NZP~7*e(c zeo5yZRAP&yI7=`mNUk){6yv_mAC=on(nijvGfHtfdZC#ipU5DFOgJroghlTyIH~E; zD)lrQTle3~`~I*tu5-;9W$Y+fZ?9%FD1&T+W*G2DU@%zmB~I#SMk6>90t_YzX_Lkf z*(FKS*euQU-M!svMn`xAvH@9kv6D84C@zkjO^iv_>5m)0v==8%8ywPgvbn8I*gPb? z&vRo;^4Q&UcfSG0ac`TpcX$80=YctAe(KCQGiT0u&-=Y!O)hxzyW{p}I@V)aV16l* zWj~XJ^?a#XGFF*0%%b)RIo-8^YrG?{OK%!4+Si}7Ki;OyY#giX312LbYWMpEw&*$ z&4G#~>DJ}JW*=>d5UHYA3uCwdq^0iATirVti!>%Ct#nL=2W+#%^j69#fsYzZhRqrm zt4b2oDN9tQRKS+<9*D`)ipEeaL6TS}^)jGm$vT#~$)>QiGSCX36VGmyRUv7KlDi9l zi2yR8QP8{%>zH(tyA=WOtVt$nIYKw4F?@~f5lsPAF#I6x*2g7>1?FY^ECA8DHz4q7 z4RlYYNU;i0Z>1#qEcN$($((cL(ww|H;-d;@`8E+;ZB#G`(ngB4lCq9T_233Ykc-Hz z+-AkLD`-K5;!SVJ5~!gjtzynNc$eP6OtPFNvnC@H6W}BPUMih*TeDzZv{mz2Oo0=E z6Lg(Xdp$$}wUJicE9hQ@lQ;9k#)%3aOh6S`ZGF098-G~48D^&oDX-7sK8r}uH1$j{ zNs0DmL>db~ZEZLVrr^5jY*Jhbn`OcMjoelXzOBPeUZcfPp}7=Lqt)gF%Zv?YIA}Lm zCP~^U_cB>RqpB|(7nHaKZ*BX|u^X`us?pWXq1H5SW1**$K)OM)5*WElMn9l|=)59o zs?Hjis%CkRhO6w=M-8UPnq+9S551uo&XP3fqQd7-bUB1Z;D+iPz9&~C z@gxXhE%Q-?r1XN=1fvKUozRqbzZ?lnres#OsZ^NCWhoh;I1ntAc!17U36fP5gmuus zck65z!83$JP<|z`=z=#8S*E*aGNDcJWT$2&j7SMf>YAGpp;uM~I4USD_q8d-tPrPM z{l;b-#fpW%&1$rTuBc~jKn=EW=&6Y?x1eB#qX4BPFzchj*y=hbPjStPk8*$+0Hq|B z!Pi^#^|KgScqvx|`-Eyw4(_6S8b}8a3`qWVD@??WsZ}>%$TuLb(O?CXl4dKR0QNJ9 z*iUZyg{1d_XA~d#0{9u>DHp@sG^%SZ9m^R`>>~XnQ3)Md0Y}3yKj4OvC8NOCZ19QT z9hw5i1$rNlN!6&KN*02a2(LlhEvDMepQij)0w8~mFiI2?#nX_Dd$I6Fg7J~-TK(m8 z6uPM-F{IfvWxF9@11brzpu50;PWzZ>qtQ1Q8`Gb)gT{vosH7?AtjKT%Ag&dx8#*n? zn{8Hj5`E^x2MNl>!d4K@6-kL`8O;`a$?UNZjA*zOn4$*KF4qN}7_B@;A#jaA`78h< zOYSz-g~1Uvr?^%qChklCCl@53qr+^0C zRPA;8tbzuvZE#gw#tJl5E{a{J882{FO?N3!zXUBR6A5(!R1GE3h8Yk=&S+YQyE*1& zt(r2`3uiP&u>eF|!q>`3#GnzidNP$TMqva4uLGfK)WXvLj6<0D_iw5Un&iCQIc~bd zGLX@NGfaP^^DSviJ3VaQB@IgPa(%b|;RY@_Q*u`8H2#}3Hx3AVq%tp3!l(B}1JfKo zvbR+%oq7ScMm)RZ18=YEELzj%<=FE{Fzw40T*%@6BW5q$MjfgGk5t%uYJ=zf23Vo2W*sZa|zFg)w z=n&_6*&|wcGwO3wM=V|J5a?2%Zt1r2bV()0c5A%9iC11gAQ6Gqk4-Y+ERyZJ1ehId zJnd;xy4i;+B!m9|x%(du%(}k)~(tX(LQkQBUGl zk$Xa+U$yL2N;RfvfteKi)SAG$CcQo-YD3yFy>(KFc1ZYJqUTneaQu?~t9ltQg8h4_a1~e z)g&K}eGmi__a7-wKNNgQ87b&UnU?M&#UuK>#-2$l#aw>qB0q5?4JPhj!rq07xs}XH zr8u|-Eym5o+?EXmK+jyMJSB`67cu*5WrU9;x-PC&UlFp>O73;_Ii*ax;JoOT6VpYR zio}Sq&{^>Sb3z*FAHnm5^!McFrJvw(^~g!%W!x7zsvPO_zNWZ8O##>bpVi=aVPK4j z1m0q2#SV0LQ-G{mC<@ej7bXv#XWrisDM@^=Z)SK_9NL!`2JKo|FMY8-)iLoZQ`%Q_ zMeV9f)iYbtb%*LehN(IQajI4hobC@@DdMYNsyozQ>#q0Ch>5pBprN2gJfc-=_1XEL zo|mbo+tqgTKCo2g)vg|Ku3?^a_lO@vCP9}P>0j%tr_ZwEMt8i7o=MLuMjozjm{PgRLrz7p+UHx(30jYty*Y-MV#rnJ7 z_nra8z)RxVy4Ug`0sQCenZf$TOKLD%a;m&8QP))qAEgWFx$HUdEIR}7+u$PZ$;tlU zr3hcwee9sOo}KYdrfNWvVP<5Gzl}Y$fV%Pq;m*SkW%C4({Y_tn4MKgx3=4^GoNa=* zxvReAEPJ+pNL!SlsO!!%mJ;9wx_)|I>2|tzs-G%dW&DS9d*D%A#t-s!Mx9aCGGAW! zO-O{>`ESWr&UUSNNKMWq+JbfB_J=ch;`Ujw4b%W%d1i&XQQOZsfqxc61tY>dFYV`y zPg>e;fAX1WbtLeT|FX_j%WrRZtt@zvRbNw&t}3`fNA|HlWQOUN5*=L~%t*NzPbhD4AY4;Q_O(WLING- zt|oqf)+pdGJkflVD`+pgoZx!UMN$2#@}tiZE4i)<%EDUZ#1L4(l`M2I#m;;t9oz#~ z^1yR$fQiA0!j}xM0X({#Y4=aMa@i5%W#gb)(|V-OS<@OE(Qjj_D;|8ZXjQWjcUty0 zgEkqsD&EQfIY01I9Trb&Abc1AprJMg6P~e2t%b`6wbAX&!{8;6Ty1EoNEiN3O)Tq;rR8 zrpmD1Vy~Xi01fQq5LE}Zh#_tla{#oVRKI%2sVe7bxnm}zHl^duD9{ww;-7##F=V?# zY)Th~7DO>HriV?*Vs1v}R3q~=8#pI`5vfv~_Atweu@LCv>U3Ki=K1DBPD83@idiGs zE|#Ny9M~1oA4-kIvgJ9sbK+&z3o}jKIN&YB&qv-?6>d%jXTpzQ!zE-jXREFlK53Sg zEK1Z8nv=-^9H6wu0U>UXE-X`PM9|@T^HJfRX11_#`#o|_!(iZ-fp(q*C(DiP9=WTX z-zmIjx_!P}kSKFofBNp=<(53mcZTAB2=dZ|_}d+9PT^Vrwa=kc8Te-`G{J35r#GD7Zq zj!(z+yrTPadJaJ1QNcd{(COmu)oxf_n9qO$wev*FC#~Jz?{@+kn(r7o=mfYm|G*G% zo)M}u4*j;A?&<76L**MUwN3UUcd-*to1ab^vSS4OT{F;!1MHjzPR~{K2ifN{Q|blP ztK>0vEkNhGumuLwWi;K85ew<#GCjj6TaIQ*`J(@j7}n=f?fjwc;zY7Blej$iV)fa( z-thq6_JPzi|KnG$Y`FEV%kucqI=XwWa{g z+oFSm=~SCN-}_0hzuVZ5+5SZO(A>V6PT;C1@}zt`f<9O|cWPK2LGF203Vk}6&SR@J zh;hB#fV-P^Z!6e>d+=0>nQ=t~Qe$fI#zOd8#Isu(%8)p?tQPs_Y&?~A%*7J(R zHrr?!0IE=8185!(@$rO+x;R6N@ z2a?yCOu9~cWpgh8vlC1KL_X`f`_IGVcePA#0ZM_F)r8|@lX(lKYJli2;&e+STNuJg z`>jMAn7Raf{da%^Q#geOsCf}xzK*LKwJe=6dSDCqi~hH+@e4qEsELN<4n89mSXRr& z1WW#^X^(JoetKH)?47^2d9AJ&eT<|s!D!0a)3(N=<{U@=d9YE<0Y0oJ(7|W21@D+F z+7fVwV2T05t7>v`1y~)H-vSb?Xi|9>C4pgVe+%=KZ|izH4}!pEbU}qa7{~#4>1&I? zE3`*Cw|&0l-bYO$?o;@!A~^YDa1O0Ye*^U0j;Bw7OY5Haw{?&sF1Q4G7@k_u4(=2h zRkWvTuok~F?eR3L@4S_|W6~Vyd>*9FlZze4&sV=F_58ax@}~pBw2&%^w~KE!r=-;^ zs2R({NP4TX#J+D|vFa%JuP>J?pt|QNFY0zz3oFH^=bin%hxey5M^c0P&nds^rHs5O zEjc}>oc~4jkl+fizQuEsifkb;Jxa4_TT9dU4xOe&%6_=9lJLd(6wh!Qa=l#6v`Erf z2XzwIJ0Bt1zEcNhCM`{N3lpSD)+;#zu}bAD&3r#7j~2o-qh$>Trpf#+Mru|P z0&nQ;^e~5XYw+eIN@e?l|3m0wyBXKb93Q;FZ=cXwB1sAZkr|I=vO!4&T@()V2i0yt(g56~hZ1f|3zN-uFuesZ z0Vx1dXphU%3KV6R6CQ~iMKU5*QFngZ&yTBK8#}EoKV2bil_h_M3o0#w?^sZ-7T%#9_RCp@~um&`hICM(Zt@`vnMToGGas2s%4 zat}=?{E-VF507sDX(7e5Ry{W@tqJ8R zLYIKV#gu*2c%Hfw0j1(0$UC|`^5pRLmLEZLf8++#f1Fn#;2n+djny^yt1rU zBwP%QDS?2e49udHB;TyZmJ@N((@OiSRcYiRi5+d&T7XvA6pK=ZNt$+1+EyK?a#73C zRyd1c0A`7@q@ehOb6Q7OmMGr}xX-i_)%f}MW2_He4j;A7bo9l;*W7he2uP`Qx+DTm zkuISE0!a@{xK=3?0S=gSe~ZL)F==f;ABEfTpW@^;4KXfNphDmY(AX@&^A1gdl$r=< zbRXbPqJKgP%%q1BCHY&B+MXLx*7C)QzQ|iszJ(w0*Yv_((}AE ztP)K_ZZw##0WYnhpxF=@!qc_4M|@7zQbmf$#wsx74RD?$iNLrZ41>TrK%Wf16-AwZ zesHdogeqJZ`Zoi5?5s*7j*MAdq|4x0!DL)UWveS)4uGAZ6CeXaNCn7P<|2I*^qnqQ z0XhNc08lsuQ3{DF6f8bxMemgaA#F>b4rtJRwmcfpDob z5sBppa!AmsexA1yd5ct5P}90e(LwO|&JV$Tu?)K6lFLF6?m75C5UwBrX4)^cQqdpQzHWYx=bwsi$X+2Z5h0j z;D?U0@mj8h7zI;BE3XnDR3K0Y{Qe=ct0_z0`3V51aHCltLp4l*AKI*$1<7K07B_jO?R|OQ8EeQ1jaa5j&uaKMe%6`M^jLTCexISS|o!Qg*>UQR@FzDe_J6d z7DCdG-6l%#Qn;YOMx&y0GHBy;Y=Yf(_vQ}tGN|QL3rG|B_@K6Pm zlVW|Kq~Ri6{TiYFK(SHaoV%P=WCa5XOu~Z%a4`cr*FlDm1*ATdj~2cKTnrkR$u=G) zazwZxpk|QrUUePJjr*my# zr;p5_KHbyzD)x(q>eQpSXxiC&TJf`OIKWfIHQj>_Fk)ZT`<0^OgSe7?VMseE?LIpm z8}HxI1RO`CKZ1Kvs+-l%klUmt%{|SXWT^3=!7dm|t#*X@mXXnVm=(n9`c@#6LVM7s z=t^s+g>UUNB1Vm}BSikXnX15kdD(S*G9s%%kNLfu-yv$QDh<#Q;PMfA~QU?9myxAChKozH-c6F=sTTYy@| zxK07{^>A^{3KdAN%}PDfsC64~;r_{pEb_#Fku!bNKjC$bpa#@rpOQL4v;Oxhm@6E+ zDN@(+M6xIN!PF=6gLjJOLX+F_@%!SHinxl6``&3t1*<0b)%<_Qb^2P-Igk27ll)2$ zDE*pVMxQNDB|zeJ#yjl4%;D-jppD=jc^6wA?8cko{+(zZJlQnt%K6smijZR`eH;v~ z@g|Y!5wC~&IMz|%FhiZkI?fL0{N>t(p919PgD$5*CU)&~t6SNnPr|C#azsLD& zW=P$U+4<1N25h{b9G7Md@iI?$D_tM!%q@=ZGF=~I#R1)X`Id1?yW9kKb`)YPmoi{4XU$4~^_pR+nxHk6g}8(a0g==+Y<+sX!K6Pe1= zNItWWa&}HPd?JMO@3VW<(qPw2%~bf^!ReyG+n;L8qP^aFWy)CSM~h5WINUh*Slbpg z`a8U#R$Uu*Z1dk) zQVtOD!Z!4?3b1bav2kJL&RhFxLl^89%jKs`1h34WQF@FBs&4!$#2*`uuQ!7AumN%k zqFO(^U~0S8%Buk=hd8(zfv(yVo(PpmK+RYkyg%d~gDBs~ay1pTpzB)D+;o31e`rL% zUP4hd7VPQId+edU~Po;esKqMt&XX&t76d%Cm4-c+dV)0?Jf*6E7HO zciUr)XZKGherFu`x)L}C0?FxmWm=r}^f^5^+rKwmuiy5f`uFK>Ra0|vE{BxxdrG^z zkIVCZ6F74}Hb8ts-gc*VLD%!V`AzTRcLkt%P9}zq=QnJ*HHUE;k81Z*p2Iq zTJiqEZrl?Gvg!SW-_v?DQ_NVr(|eNJ#dd)IDcuP%=nD^N)pE@A*~IUnch>F<2|MYF zL9H9t@ct9%a!8kRVD)(u+IxT*mQHdnvlj#W^F=4Qx78Do`4+8=U))l5*xwPr$K865wky355r0s$Cc`{D*!g|!o?zMk zTJBd&sT}x&aRU6ij21aoVQ`N73}6FR$D$cfYS+X36c(FNtq66(#hcW?oY8>7kBh*J zY!kZ3B(?ajjfbP!j9z5O6YzCR!bs1d4sp&4GAx-qb-+xrmBwgzoiU1Fq#+_v6Tz{= zO9m<7J)G9o3v-g(Xt_U0Q!w$E2b2T{q67!Z3In;F5nbDg;%JEeVFL!c`cr5R@}Cs_ zKnaI@;XMc}^+gfK=MYRN;Vu#laGr5kjG}=`HA!(o5)6+LPy0ivk56ma+*7pFf)`%N7Bv|7H6us1VK&`P?YjMOjL2eyhfNPBl za*JFm?cws+4QUa`s2fH~hK)G{HUZtn;RR8WUE|WvCwC*y6h3?=HY6kuzk7%`r9pz3 z)`#CWj^ug{ZwJ|dQq+SF_a7RADBJ({-r8^c0^`j3(zc%DKcNfq6b43Tr#fv$2cYmT z8^&I6Ze9-$cmII}R;^LH9tws>!Xxm@7sf`^5u**A8Btl|f|fnAm*tXhUd+&DlP_}o zpujmZt>0|px@q`nNDs%JWCLom5o}O#k5(9P#ty}1JG1?V-qSy6 zL*^X*B#5D;R1b}G}Y;Q@yj|^}sVNYK3+G;ddHfj7Fx?f_$&TkvzV zsRs*8)iw}Zcw8RVn_CvCs*`m^f2$7bv+c}#A~&-h7^AVxuaKiAhoB=1c@Kghn& z)d$KyKsP;nI}LGgcr~zMx{Xr46Mv0FCR{i z8S4l2HIA-AQQt7}h|!CJJC*XGcmSMY=PKu9W*k3QwSJJjUCQM$6`k57ZLeJQ@JZTY z=P%Nty+2%H<-Dyw)+3C9&!nZ%Shun@w95Dyt`U0It~+?EIF2`3xhVgmepaEF?xH9t zVltqrk)Xz1ype&?x-rZ~usjGF+FNRn+5}z|{e8Kby8&bZQgVeg-TIL zr8>X{$~|#f6c_yRX4*C^?($CwU3{~>mmXS8pU+j(Qn*qEzc={~vR3VJ;V{D}d%99dBY)ngT)qq($dl1~TtN@;oE`cQ9em2pc z)t&ODlQ|Tvf|g*D?W9P;;Mhe;pi{2n0z>2~R}tO37PQ#FP_kH0@Ss~T0I-9=jHZ2( zWL+!z1dEBuO1Q)#y&+o-FeGJo1I%ipR;HjCzCuJ)2T4^DM@bh9;Pog)eDsrB|238S zM~*#n$J`&;y12=Jr8!mvZ17spucfX_ydgq6^T)&D@zFnjiP4@|n1Ygn;G=xAs zY61}u?#RibWCXc0LltFXDRWdF70Hldg;J}s0;C7{0|3QTcmwc;Mw`_-pa(^T38$E( zClC>Xk^_K8mVCI%x<-`=7ztyS4jCSV5iw%`4%;}20M}T!chl0Z5VDVgnkQ_cW;I`K z1pq&ShaZ!moUVy_!uSDi%XkeseYF7 zL6)utp8$j^2rdkksz7NsKY{RjW{6tg%VJKpL4{d~kN&2}+~`~Ug=<%WEmw=i+zFRi z+zkmc2cHG=Dodf?e&c7!t1(^D102u&E+aHDpezTbS>$9+U(6xLlUS#P^$b|r2 zL9bop^PJpKvG3YeNG#pfbz5u#dEMG#^6F(4@60R|f_SBQg&AGT%2G?bxLkaIpzs#+ zY9912Lh{;sctcCe#f`tWm|VZ? z_tyX1O7ydezINbK|9I_|u5J5+V!f_{uN`zP;rr|6#q_nByte1hB{Vo-u}aP-7Hb6F z(yON|UCUhBXwuvUoZf4t5xohL*Ow?vgFpvt@k-$hC08%;e;fTG`6Zyn0xAow8VE>% zp%G&PHCKgqkz38^>PF6nEwImNm5WFh1$IfSc?g18A=N_25@eXMi56_Lq*RwhgVS^s zW*02|@R%X-{PD{2ATTdBOBs#{;C8;j0G+Erdh?l59`qy&!7a2Zm%8crkXoqo;5P9V zCK?g>rDI9+&^|pd%P^*`)xbejX3dlIOhT1&%)Pq%UR4qZk$1YARgVRCL+AKVkj)C4 z4LE^2gwmq$h5;AsPX#Dn|I$yZ1b*dfW+w$E4X*v0(^A|Ydv^as>5jgB;;(o@g;XZL0BMBX~vPW-lV5EMq02!6C+rGc)bcRgtZ{!>yR+xrF64d5Jt3=uA@klu2)VRo20l} zIaj$?1Q{*mN3!PtYzw00MBePT-`(%?FQHFW^`lOoI`#Vd4FCC`|7Gm`zvgk}$8$gW z@sBQFd-Eqhy>{)V|8(sq|Ma7O{_#(L`jcyry>{)Ne)OXs{q3@U`9c5xJS+VQzp}uu zEb#xT1x&y%^T1zpJ(h>K@p*dyc>tcrb0{$%mWL9{5Ie2|(+>ek0K5%z_?hdwf1(aeATT=a2ZD#R#7t1#AFd9@{@G59-Y zS%}u_xD!wWV0l;;%8dX_hZ56h=f%(fi}Q=?!!({-To%?J+8@th8R~$*x)9-YD6!su z4U2WabQZ9<4DG&H4@}<*SP2NrL!Ey8I=t(^dzUN%-t$2~dJ$0P*dpLHn8oYx9OjK1 z5bB4;n2ub>d#(e^QMa&d!|FnPQL;tAcis$00m5P|3xVelm|sXO9I29-gqu-qpt(791-gB zizMp&A|TWQCC(eD&vVz|1413by2CP*SkL1CJcoJI|GxmR9D(hG^)K$D@1)O-_Cp;O z1N$Y~Bt$q5g*t`47Uprz#Q7fm4#yLen7;>r5`E;I^uqGRKzpEVe;0u1u>MfuTpOlQ zVm*jZ53~pR-FzV&(5G?S!m@DfgZhLB>kjiMu?*{846JuC7VCiN)c_n`F?|?d18_XS z^=LT0Vm<`gAN30JC^5en_W^LcLxf{Y4G{Wf*cWgT^5bD~s?oz+zkBb)mjk{w2U~0K)fL zJcqvuK%MX$PU|>NqdjoF6aw>qd>wcmu@ivI#{UYyQ>f1O{sn~gxKX0dA?6zj4(NNK zo?-ncQ3u4ITt`@jZTn-ue^`XYj7zA}OV@#{O_;`WsLxrzf);Nb0|4p~>VRpq4@$IO zxP}e=8hr)*AIrmK>`&eSVV_w%j-ehu0AN`-j)a=vc#3tR51Q%W8EXOjmUa3?31BftOKUQJ;2CygnHpTJ_`uj3nk{Utq_I9h39atLJka{iDhWx#R&BYWjKC>`LOO#C$uU09+smF z?Gs*yGAu(GjsZ88g?%8D-vESZlvq!w1IkqZTIEE!N{v0M;GiH2~Iy_1*|9|7*a11cW||>zsA~(n9~zdhy}w zSXeE_Vjlrq0bqOLDO~4=>oAnzx-8twod--`N2nKOLp@LjMCgCvnik6z>lOMJwkNh7 z>T`W5`!f;x;ybNlzYjoLh4WJAOIR=Jg7yt{K#BDrFpd2hCAL?%&IsED+Z6YnSdQcA z;xYD}(qa9f4Cl(w-|+phu3rSS2?F(hF96rfcrC7l@Lt@bBCq`{;4uK|fV!cM2-Fd6 zaU-xCf#so3p-m9T8=%CtMm=xTEi4QB>4N3rakMM;O)STLiNHP^j$N2WV82D~Vll#N zuq+(UPzMC=yRpu2jfQ2I4{e8i6tP%O%zyDZFpc)Z{TA-25ZFKd7J&8)`!H@%(5KL! z{v7~)4);rlaQwhBta~wVFM;{6jYGZA9@rPL9P3A59_vP68ru!~GL9V~a320C;2*97 zIpuwT-s>0!pe+y~r;O=PCmdH1VHw&B_n+7Z1uWL##`Idi;&}kqi}=itFF}dx zE=0I4!}L4ph2;o5hhr83^+DizaiO;^9MM-0Vc8!5{s@3&IF^1KfaB|mML>=K+iEfJ zn)dBZ0cRr*&J_+>0G1;Baw?f3Ze{L^NJx^nk13= zB4t4c>Q#vBaeo;@Dz{)zHcu4<%JwoeVI$||G;@d6gvD-A5P9`_?nuw~{}X0@F+LAKXoOd*K)R`SphTx!dOp@EP-% zUitES!}R|n>B~R(-p_^i{BlovyD5ITd#}6hs!NM*^A@GwZF2hdjlcG8uYKq1{u>xF z{L6pE-%`$muKG)3`Xf7k_AyGj(JSB8)BMY~ec)YP_=_+4|7Hroflk1m^$v;zk~IC= zxBiWO{VqJhjJ!kTZxv172}3`8)p{2#*?Ik%x87#HYrsJ9cffdZ&Dm zfOU+hYVLOZvTd^weR}BO_oUCp@8DcwN!jwtWqXGCL&Q-`DpMk5$CwdktwKl}*kqew zTJj(~w8{!)u}e4Ye$Ltzl2V2uoh2`?Y^qGs(e6l!db1;kCumT3iL+ik7?t)aDb>i5 zk}J9+V@|4#Ykp3@Tg|IYyNb8}o$4i*Gz%gi+QJvI`6!7isaL6^OcVI<&umuHjJAZJ zL1akHFtLPG4bxNhSoKUvRWy~xuR1)zWy{J~-X%8@o#9z_o}ShN#qBOyWNYJ?k!jaJJ-swvUcQp8NS-@n^9S4I!#w6H zg-a}Q+*Q0Ij=G^=V*VLUh~*2VIal(<<+)jy__e%Hu|XXL{D+x_SQamE&ra?(xL?kxmn6hMm zo#Zai){?SAuA|A0rJ2CHonms@aiqXp2A9;$=sxHn8Qn@Zk(n)}%1g1%wD{wFU(0(IZ33nok4)M^#yFhjd0BN8m0&QqwapIS}UQj@Ci-j3?u6hw2b zkZe=VyYgOMCgpjRd|3DKLd1>=*Dk}u`~es3E3JO{=>Nt7OUhGaw?qRlX<6md4s>;D z3Q+>l>-<)sL$Ye=0fBxkPe!4a56OpJ zQ~lCc;oT)-(5OOSDVbN``H~5*VMvDwyrYn`I^{VB9wJGOI8P!;1&S=l5x5QRFmMs; z%X{i2N#x<#MAKkoTJ7>N?gx=|e-ir$U1>DX;a1qufbFIkx~&5D2a?k#5sNy8<||T6 zO)6&VU_wcVS;y2AvmAk^T9OV9Ao+?d8G?0;CyE9qA}Tkj(zFiu_^OoGUDbX4$tek} zu7VRo^Z5O+r~`921Rewhc||fuil|mzHX0(PDsj9_@;VgIy-k1*k%Vl+Te*;Imo>>O zJB*GHa1FR{cl#fzaD%T{K9jRxhTYJj$%+k+<^rAK*yDAH+a#|FMqtdK2ZIaZTp-rcqFITLFpr5CD9kz$}RE+LOI-^;u>idIi z1J$cA$P~14Mm`zsNOWGeL$(ZY3Gu_vm0}j#!24H z|C|`J$2Xo~24Ner9J9OsK9xrq4$<>_HmE|2Uet~lU+ zCHUX*BWKY+$0|~tEMB*5siWeu-R^VDiol*s+Q9qb8?-V# z+q{AVN1k^R%8}=HF)`V&jkC<8w(ZGp4bdq&>+tm&TkX`dO^npDi>yRRs>D`{>Rvdq zn0#-0Jv;gohl4mI9%1o|bihiD4?faQSJba1jrSy1kQLc_)=Cq#a`hu5HF<1H!A{V9 zH=k_&n)@jld)fI~cYEv2sY}hDADnB}Qj>r6spQUYvdO2nBzM+k9uw_PG+#>mq$=9q zY_{*6S)1IcO^CJ0+hbGB-dsJqm9Dw%62Dr{ZjbG!XT*Kh9Bo}gCcoE`Jj&v==O4?a z9-n!vdgN&T`kBUKAK3oKzqYz{WpP#CbEH=6`Z7rlig7*pcqutd1_si4dUU{or2kE4 zI6L4Zho`Ke)8f&rbwZ4?#@cA|c~YguGv6g|*z^O#r2AZ5HFjvEa892p9~*V8%=6B- zN?K-kYE|*$LHC*5s$$(m?<`+kT$6cIjC7yVrpiC;e!8?K_r}5Mp^@(Iv_jr{x^xQ& z=ZxFapZ-es%T6CPQa`4}+xaE;Lf;iiSCK=N^>j^at}N1zZho8@e{(E;FKfwMC_It7 zn7GJKk_)lc|6yIWaibj{VNG+koz{~F6{D?dlankTbbYnld`{g4P#HKJR{u-dsM`Ryeouny3!hyI5QI9lM&(v2V4m(H{^q zxleq?9u|jq_ndvE#rmQ5t>#tbp&xoL?|P&CV0!dWuBZ7o=kxBl*rd^Y!F`cU8tZe3 zI`J~O40^fUSLn?vNOHil){tw0biC-Y+VB={yF1q}(!r6-E{`wW#_#*H?)}+T8av?h zlSD7Qjl~s`P4sh;z1QiiJ-E4)fOSu%#Iu_rvMZZC1YVcT@1G$qWIF){IOYGyFEtxjbKI}d#TC};Fsogx4ozv`QQ1Cs%MaGEHQLiQO_E;CNGg6=iPoss zH9{JdjLxVlmgK8hSeKBzQ2cRPCmU8BT)B;Z*mcgi&Mwx>?5mEmE=yJc-Lb@L7EZ+# z4lMUz9gd>g72|MF@)NE_>Rd5QR}j9Vx6T&X=DP9W?S;>9^>sbBrE^T%#?Xfd2vME|~)4l7mDW$P| z^seLqQfuA&P3I~hjYnDYep1tRu+`brlIA&*-MoaZW}BBZ&#;t|)>dcN5V!}r5?xK& zw)gdItUu>oq0M#lvaWZiE%Zw3%^%s-HPN~w7L&}n6=Pht*)1?Eq<~N zMDuNW-Q~W%W}E$i$~HT54qUj|ai&O(WqMhfu4G-qq;Zb2btHK~yO^D_VLY%elBz~l zmo%e$F4r8ZJb2~iVr*95ZeM9F#&$W|;rtsmS!-{%=O|sNuY)sh6z}*=Qh!x1t=UwK zx!dhmK&*!H&)QW|e?b2*O+L6!x6e6XvK1O{h7v6Ut1w;ZfvzO=IPr<#dmjw)!?tg0 zu#kiG5aN72Ojbh?NWrQ>Z*mnKmIbil+(Yt8z81K!&cXG<^~)V8QC20O^k9~Q;%KEb z|CkdNtmR>&0xJ?+kH9JsS zM20!iw}H2Gc!w%=sKIl({zv35#v8yXZ>0^FU`q@PW)~cbD|mYJ1~GtTa9N2be;BLU z&x+)Qc`<)$<^y=^GjN>0wf-u9yBsOa-`)Jtg}XbR6=3xF+ncZEpz6%$$W;yxK=JlF z!~DB=!YQu615WX~K+$>>Eym}xtJY(_U8C$dR<6ZP5IFE7<>RsDTEpb#=h*IHTQK7q%NvPLFgc($G_pRSgV@65nePtISvxjfm>UDIHs=9Rzs!encE?Zfd` z?fCKkG&rYEtYuw45o8;0**IQF!xCam>@UTU=kJ=^*Sl`9oErX5Q0=GoAAu)ozkO6& zYpotxYc+mO%f#fvcZ)0raZ3;q~tareHNr zVKHmU=ed%hSvJ(i82vioqp;xYPh=dCC7Bwv;uS}*fA7VWY?WElBW$$2ub*kGrNAE` zYFZ@8ENd*Mh8bydE!!dmYe+d#bOv0WHIA2wni{FtK2Z(Nrx7d8jC#E?e1O{7t%hSe z9ZcQ2<$UMOPqmFv@NTmLelBR41!u?xVJ-uTKuPM#2^g?c*mJ@nJrWgiyS$s4ZLa3#odrBHs4A&j9jx-^4b2KsXTk$e0TJdqi-bUys zyTcmRftWd~v!RpBsMTmY%pe2yq<_(#tMU_-Dc#tlce9ffxiK;dvlH7&{PNTKjN9TB zW-Es)#*7h=z{^i~CkEB?`#j)9xXvwKCgu|K7!yV-{|jX_E8}TygdI7B=*|zO&a0l)u@Bc5! zlk91hOOYaLAxn}qYF-2rXU-5FA7+_x%DqlD?u_a2@p{@h#mXfHrbtdYshSm+$5`gU z5*w=4A7YJ0wMy)}_vshuHBRmb=t&?d3f=UgcYztK-%FGn`WWx-s)$e1uZwN{M#q$X zmM8DF4_7wSA0mY+-$J>uTBJ^j+{hy(&l#E$Y%=2qvarv4Bkm!mN&T-w${+FmTohIj zJx)fHm-tmdJ-!+C2aWxydNIJeHi;}vYw@f|^SG7Gu3cvaC&fknblhM5dW=3x{GKcy zQmuI7gqJQ`@rg>wVQHhgY_W+-dnG6zpWT)iv)G{8;uJHPnM#+ayyPVIaouN?SX`oP zsI0?xu0xD;8Hytva{NFU6MWJuT0s9Tm&}?WL9-b{V)6@a&hd+g3N~P}&pZV;?&7R7?HJUI z9I3%nmpft0;69e!!NILi2~&0Qgpf^O6cVsNBSD|n={T%hWI$e85-!eKk+1O#ARvT9(zZxe9&aj|LQ_q+ z;Ji1(h7@L2MuqRrR7{mRz6y5{(@<>gD}%16a@*HcAYbqMp&~WPeQ=wpCRMh9dC)a1 zZwzMC(ZfWTa+MNO8Ia;C58$FPSTas>;V(0!xNLipz1C*bGnV(Hj7Bzu^G?zQl9=btD95lwakZh<-fjMY75^a(( z)&z74*bUfRWg71Ff{gA~v?*TJ_=wxBc5%Dy39kHJ8F$Ebyz60|tdl z7`)qt8Zdxl2q?<=6;K{HUWHE!he_NvmqQbbTmI6*-EJ~~N!0d%TLs%o(-pUQR*%kR zH!jzb&>B9R1iMISo`>gxI{}C1%NyWpK_Ia_aAUwL;(G`J92+&jy}MP(W^hxmTq-dN zW;TII@ioPf&cee?RTB3f-zMujNM1&RJ776b-WJHfIRaQExYxq>9CEnYMO3>Bi1Jw> z#fKfbfoyOj(*-{klDVAv3Q%7|uq(lvfR2;ki#Mir%(Qg zH$5!6lt0e?I#?`O(Pqek2H*ui&oQ_b)}_+~UV2_J$U%+MH8YZD5pc&0&}SY_B1m_=B-b}7@3z3>~Uv%S-HwrRq43=2AXQfM_GtCNZ~+9`;r3KAEv zK4ZbgnZ^OWp%%nt&l>syELh;WAW`WWsU_t{W`$LlwAm<7HYarDtdu4}J(+L{LgOzu zLv@e$1?=vjk(yyHvoaBX8Sx6eoEQO3eU7NEX({dE6f3*?XNSe_)5u+NnvUi6Fw##_ z;INs%7Oc$1VXimE^fBFX>T5b18~2xHGBh<_^~{qs`|&3JENd@k^}X_pZ4}{y#yB5K zb~h`lYiXC2kAsx2?}_d7%My6JnewJ%!#s{v~pzn z#}|`DLnC?EUHGc!1U`q30R9P5c;HeJ9N>iHLL7lJ;&u|H;1WmWROG>#0eCgQlAJMS zs>c<_-O9`ky;3RKTN8fK{y2lfR(6=IuvDm~vJ{Y8vjgNQ7PXU$mOl~Gk8*4@!cp@nJU&vETRMW+-tcV{gc71k+!Ew{f zH^=R+{ReBw?e^4csnl4bTf1kr(yjE_zO|Xclz!1Ft=n4M26uj%ZevfeC-gbDKNS59 z?a%Z5jV*yE0?;v(ER)=8LRm{LSSozn48rj*J6M^vrm}t-nW% zHH3b)`A9+II<{3S_UO3YS=#yjtIGUf5k#0)XcvsbP0#=V#LEIB^@RL@LioTSbQ zo`Uqb46TWmGUJ`=MdrIM+%%JUaJ)19)Tq&Qik+=)CH>7aaMNJ0u716~H`wjK@hjDJ zdSXJnbkBv(Q~jgW?qVk_oS)Y3I>kn(fEYT}|CK5bL#Kd}I(}57XV>MnJ0Sn^&KKSB z+2ot`x$T`b(fAMa<-TvSKPPYMaQd6Qs|YyX`NsW7VzBG)av+nU|a&I^#~z{Q#SAy1wgtj&bqy$a;70NOc_umi#W9=T*pb zb0|8so_j#Ojk6&6W+Jzhoo#VXGW|%68HE%DU{D zhQHA&BWx92WvA^lwajrkkapAMBefEZkJGODEFr+t9cu*c!$k*k)7{{7O8m4CklvO~ zTIR7NTvpv)sFix0N9IQOcK4gkfhv2`b?IYlYjT_0!Y|Pp^;$AlA7NYPWrY=#?>pO* zRc<~pQstRaa%-~i3jdjChs`i#((M;{i8iu+>#@XUb}>`3#@uK79?P<^hV6w`xAS6h zU*)RH@4DD<`3w9*k8jz}_Gy=GhbDTpHT)*#%c&AK%o(RkW*oj@8L0^DLZ$`&!IwX3 zDTe8YUZ>p=`@{jhi)TI{+zzpisSx86S31x2x3aN{8&{0M9P@3ij5c#t`J9+Ps9x9S znChQo%Gqai3bVIQjT*~e<)xI;;$6;_?98j)W&J1L=w-$VlJC=2kZD-C7!Ig1)(L7k zLPk~cF#mh_r!bL`*-#*}L&7k#Vw>JkV$Gu0<>{b%UrD7#e~T6Arr%)^cW+J@E?j%T z&9T7f1nr8bW{*n)!=(_Vly;wU<>YR+Lrr(jvz);;zMiKY(HO5fbi2Lp?{8Y)y3*+? z@l?&}>1$~oXUu3E@4xFD8`o0(z~(fLvjk@HaQGnO>&WK#Me8MYY$K?*PNdjH>vFZ4 zg8trkj3wp-X|bQ+{jevhLbf(~WEmg2oISPP-R1zPy)iY>-SfzO?MIzwXIe_v5-vd7wk8AslO%jCV@`yIIx^=R^Li&bAcMIwzPlLeU6g%~>fy`Asd%)Y~3WaF$q^N)nU3ueZ(y^G&z;RTBX z-s;J?1IlkcDl)M1zb;ZWafq})M@qeB9cxHkWWYrqf!t{^j=5mGA#p~eNB<(1Qq#t* zxxHTt4(Z$5k3I!_>t)XO0twMlfCSwA!@}iKsUu5*hJUJGwx*Z58@!S`_wSUd`A8o)fQ1Pe|H z*8)%qiz12IHl^KtbceHp2NV|gw$zU7l)1}s@nb9e|D2npP#(Y@QdiwZ4c3-+*ZgU? z;u9Y_3R9ecA48!!#2$D!tWM@BG~b<{$}lJpral*EHsHlzoidO4D?L!nhqDW}zy3R) z=8d0$?>x-{k1eze-+M06@?6mdQs(VKz8A~pFRp!H1?l=ckU{eTZ=G#P;;pYGuix{$ zyYPrm_PPsqH-FV_hP(UV?m0a-fBQLi;r{2{`3Hn|+$i7G6PS1nK2p>=kx{xXPPKm4 z>l!5~;1S~E2`@v(nUh>MzPEEqB**_Ak6(QTj{m;dgX4JI>w)692lU-n6L5@=Gw)E9 zq}VAa=%2r*-x*4dJI>HCYEJC_T`^I?!$S*~r|rGsk_E2mq+jYw0nzreV+qn96Ydm5 zKW0V=Id6^jNr!6G@D2&dNe2+X2nT*?a`{4Az5OT_XH96mpTi(D{QN3YlD>BH!Dc#8 zzo8gE;Ao=C+8PyLi!G5_rsd>JX4QrY0ox&8r2harvY>$R{VqPIJ*QQ!|EwlaE-{ ziD?4g!k*^c5pmu#PKn^uQ%eTrTKCsRGB0ud?V0$=%H>@@<`RdsAqC=z@;1XFrpyl$ zAGWUo_LjKx1(sI>W{W8GN>uUX{C;ibsd??brxpPQqHzp?ep~@cbabXEwU#r6Fj}3t(yxNdEWQpac&B)O5p++8= zgs*U853xz4v$s|^RDh)(dg#=k%_DJ`|Zud^XW~^F;*Va{Z`&pKCZ8;B=_9AX;E4jnG)Qj3I87njAHf3#l=fuGtJt#J|I-k<+c#+9N zRj2SWuPpW2hiCU#UPp;<>F3+6@+m!#H^0P7)_MDEy)!mUKecXk=TNURV{g?Un4v9K zd=89dC3PZRawC%6e92Xv`zy1jKI3$iwIbO-Qko5uljmPz zh}k}AOdnE2yqOPxFG`2qnYCV`)gfIBKCoTrjP%(_ml-*G>p^3McZbO7omJO1vo0?T zw13)8d&0Huc&(Jyp1N&%F!O}l3SVIP9PD>i4;t;x(#_Vzu5rOcXNkghjf|m+zkaOC zS(2Bzq*2MqD<)S&9=e68vk~S=+~ZbMaiRj+(YJEUTpdYfSIf)()7&E_n9^$0`_p0#8dBq$t&SN zWResIm*9hn1>q|1$;ijK0>L#db)_+2O<^V@{I04nB-nF8tWBqC3N@%#A@oBZ(et<; z=d7RtZ|(XNRE3Y5re&18TOX)MFqZ*;CX_ah6Nze)0nF$ajZ&`3usK(u76D-{fzE-g z2Kr==>#L5*i@QAvJ}Yh04?A)2KeY=8*_!T3>t>>Amo0YV!!YWppc<}6o7L$*eOdM8 z-%~YbTS*fAK95CWzYyGj$d;pJoo)4vAI7oA~} zmXec83@IB(7SE_sV}x?p-3J;2$_bbhrJK$O62J!E3@UCb0UpqWaE^p3Y}i}-avKCL zkwD5sOh+NmQBBwbE0Xh*+*)9hbR{mb!1&}_iC<7e;DdoAkr3c6u^?h95M;PP@Tw0M z&%>Y2YnBanyOten?US6risBm0YNx`V;~e6g_+Uk~UB?U}(-=r*T8`rF(O=gGkmVwV zCpBmg?2)%+sTthUx}Q;SplCS~SIjatYLOjgI8)I*#O-#vMg1UM8~$}4*axVYST5ZY!B zhiM%tJoK-cl=8|YXcEgeWV&mE3nM((2B;ZEL4R|mV%adHfq7xHG14AHxkW3HymtAX zXZc-ch;za@SUIS!)zXf(w|672r&;)zpV?n#Q$+Lv2i+xWYiUDkjcy**)&VK|y6B54 zXGzye+HbEHD{RXZds6kblCNBFzHMi_e!F(SF2)KMJon^GuWfX=%B%Ggw{#>n>07P( z!9F*yY%kVE*i847ut|w_6$i%bHW-ulSXu5zJbuCv)?k~{^qWuUtl=a*!^mN+in?`PSntIOEl3%WL4a4{_Jlj{WhMm;&JQ%)Jtx z-mTDxmpWVD)gUa@EpD*_Zx3f{{&p*a=n$F*pEA`odc}&YbfpuvIw<=QXu>WP`iKc8 zhX_OXINq$l9)s!dgBqpoB*gUu^T!<;6h_Bk#DxJ2|Myj9sut@~C*cpGz`E3K#wN6F zt~AYeu){pB+;j6CZB23hA`|gT{7kkB;y$tsuW;C@wROD$4P53Y%wI+L4;f2Q?h8N|g{ z?o9jx*~G^3IfyUGoq2>`X|`8n))qEj+LZg&BS7?h_RRjN%Qtt#O75*?xVK#DUfaHD zUl~5nO|(Djtlieq&e=d=6VHmZgF+JahG&exD7WEMIdTapMEt)_zZj^>?)YfE8n@7n#aZr$~-420QOl{eNDy zj&-Ix!Y^R+A`~FY;G1$3_QwfWI`F-mpOoE#rr(O)qH93~4$D?#G{QwKA{q zvlM*s>1tDzj*d3X^ruIDt-j$hvdU_l}J9i zsW2llqlv=fFZNX7>*(lcVa|omt&f(r^H=zTkMeE(J=IZr3(q{b@ zqjw4}dA0aCU9SYMI$2;0UUCe$W>h3Lf4RAOa+ST7ORMa9m{3ia5LPl%UIA-R=>)MF zWy0W>7>b~<^oCVLs*e%7yN|6++7QuHRdzsXMV}4^-%st!GY$-0S~_SZ5VGXKx3n=v z32@l-h?nE^NF-W=2%=6(EFh;K3ImST7Ux9YF5sY~4!w_U<0*A*+v}_Uf+w>O!Bb_- ztoIPTF*FZGu?1Qb2Y(&6NCxt0i9Rc;1dxgEV>`lwe9T*m2OUyygN_j68tn% z0zrL>?efsVu}PH14PS;+F{_ zQHb(d5nDm%>TK)^Ez_3dH?%ogj$ae3?lLX6&>trIG>8J#j!e9)K_pFFr z>wID?+pyHxTlu7ahiEUF{&%<1x^wJw_Hrv- zV}VZDD;iya15q3TL9JKm6LA03nQPT*c2)dC*6He-IlhXLKw9gpBKO{HzVk(c48C%P{tj|N59btRw|*Gj4Keu#nET&_9RkDh0>P7Lch z-ZS|Z+PYd-W%82{!Sx0$f9!Wyt+b-C@iVM;X+`75;Eqdc8q1$$wTU$=;m*l5YvylV zv!)CWz#=y|LTeB;IoTQ$?09Qz&Yh?=vUBe7MvHBmc(RPil~(FSJVL>b%F4FNk!Equ zozx`JC>JbC4u;BVSL)Zj;O|vXq-V^1?F08=^Sm9<)ISgY^F<3KEgybwv*0uR=k>v? z!y1@4$ljBo$1V8L0ql3-v(hB+-?ffpLiDf8{Q9Jm+{`9@YbYroXw-gQf!^m z{v%zqzgnEMoV3N82FrinA%?D1O0h^SLr*6xksSKEDD+$1){`Rf#euud-#hDy#$&wO zifnL_dz&-Mlj}z>9iC_(bqA{xZQYR*GkhSktrE1q;jVjliQnEwJt<0IpO+^>_Bf4? z!tx`fD<14R8eRC%oM(!8r(ui{-Pbt;gRLfRwGx*dYLD@;W~n^@#%GW)_?Xh?2%fJI zQy+Yh&${F`_(25hwX{x0wWE3^Qry68H_mbu>azB^pFF<AZ(Lqj zeu&2cw)~NbH6v=lMF_%an;0skU{g`sV+B?qqIV@;9TWUjk$m6eTF(=cMj*|QnQcO} zU)r@%lQzU*)67W|#+~JBlsC?l8;`_bF-(X9zt5p*m{4FNI!1ss$`YSkDw0c7sh`pE zVp6}y<7afTM>iz<>t%OPbLDCw2-@^`r2CZ4Cv8fM!-GLVQ{xZb1mEP%)-yr$0Pr#} zGC`n>zl;Cr%HM#XYFE*yFO)Ib1FsJo9ts!Fj<;$CyVyy!@NGkL@O_LST+~|(H$QrY^9xkBojQ# zJ{YX4B+AaWL?f918x2mCN8L=T_^nEORwstb*A}`*D+QQX>7+5JM72jM^ivu&;a4Rc zihal}b-D-6Y+V-Tv@f2q<=lF$Nrc*>0R`&NWWBOE*D5h?r3bT&Wwro& zu|#9^C2Om9o{Oa?9@j5d9JfDht(#cQJ@WyobjzDgQGK?~i?_M$)-#pq+2qcS^nm-# z%bg-~cF@|U56!w?cM4~AS$lZE`!dhFR|)M_YgmkX1WE5LUPI&%+ zBM!ScOPuah%=fg@yIrU8Y-tZSA9l<$uGZl=GLTn3?2Xmme==u%>H zVb~`ITN&)~;X9IDfp`WtKXd2NUyG~Ymq1}K1Ge8hDkF8m6%x(HVFJxmoGBZ^PBaU~ zMteRYZUe0BL@P)yMYUgze7YbyToC`_!D>=U?no-42a)}KgY zl=pd*N2w0JEKy4{;KLFyI3(HNw6gP>M}gyzHe8^A50R=$TV9@o?PvFcRXtj=P5Fo# zBRA=H$+5_G$5R_rdq@sg&xB_q5oF5stt2Mvc{age$10h#cgB`Ow73sHSzC&4mxo33 zc}BDFt7M34q!B3|ETd8QVO3el%f46Uk$NS*Bqk5ZLazfab%$X^Fx%d(!Y}NAEsG@N zcHo8vY}00u4G`v}`Z7c9VPmX2+T}0aEtyO{Or_f(P>jMq{2)hxMlu9MlmTl3<5VrL zY#@%FiUiH=C2h#DRSAeJsRw>xD4lmALyD!}ug_vgdrr6AX~&9kau79-DLSl4dr>au} zcT$Qim%x;gUo+g}Kv;S03N#jX+avI^LJ2q^eW&angjJ2?zP|N8z{`D1?vx=?7~mwP&N=`Kxu$(!q`X+3g0j_k%t$} zgWqSEH^sy$q3{l6=KzpGP34N5FB{}0X_u*j|3&ryuWBfDWNL zn}!?}4vZFKUAh1=o5|ha_LI=WYA*~RzO^*gXA7X>Tp-0@0*FwxRlC6+mP$);2?J>^8@B_hWk^wgEqNiJE<{Eyo0 z+Q=8~D*vtqKTXq5md`Y=u)BpeqbKii?(Q0{8SCBlM=S1jmf2S+YgzdHV__LF^d|8@ z4D=fcbcH`f`t;X-Pve%kB+d0iQR}2-SE;X&L2<@m^-htdTFdas=$7Ng3bsmn+$nA} zhIVOUo=6-y*S#y4{>m4Kr7qy9(yH3T9!nN?zM-I@@pthjoX90xB+ZqGgM$d)O~d!;0z4xG5C#(v&YaJl=FXDS#3ICYf8sHo8TelR7we|H>h-l0lya6 zp{;@oHLB{GH*Gu6KjE3Cl2V+pl$U=|uiAa?7Sd3Gf9A&T(A_GDx=P*Ehx*N0`|b_w zR=WX0(ApCUiN9Q*gAlY^?R#yALEBU$v}NU_?X<>^wm$=m)g7^wUi|a0Wwcj*B7O_o zJkcHhY1UqmjahGI?R%Z~XX44DPAqeGY^5_FhL$_?&8xYNSa&IQtNrorr%LU&!i`Ur z`eNTGm$tR+EM;Q@g_fPCibzY{+R-0>pjz6=;tv2hwc%jPN9S6bhYmPbvvlPh?VlGp zvcOK!H5!m&k2>qw5Pj6~TCCQpm&pn7iPbMK5$9iK)(RaW&<+yug@bR{PUcwK%F-I- z3>)A#PRER{63hGv@Q0W9YTkGrtO0_mbbIa`1)l1Y@m0W6b<9*xZ)Kl^DpN1R z552@aPwQLzduDpXdfIT+p82goJ0*gM)54!1kV^oN~dOkKLlnG=)4DzVN7p}e~#`03h`KH zOM)jq$FJVu0Co70z7Hi1RQHcefaO5`**_LL?kDUh1RIrr@$7u2dL`5gWs42`}nSn0)7$-KPxnLu-AF6PHvu~ z+H=gi+T02;37@3qSz?U^-L1Utf^}AhcZC?Yx}w#sshMZ=4w)Nua>qGW&O5T`7*vid zlOU+=en;$ffAGm3_{quRu9GG}vG)BIX(9MC!qsFwc)~WQ`pn=||VoRV+RF*ob}JdUI-I z8}Bu4)mE~hvm=|I*V)-hs>+8h-2Eo!g_^N?BypL;?{+WPKkPe~n>$!tJ+h^5dv@+1 zd2D0{hhHD>{J8evbL;~Ah;)qw5n|gl?E=Wb4*hDGv<&QaZPERb3nqW6D!LE2Q!~L? zex*{2_y6DAy?t~X*L@{eRctD4*_q(Iu5LCOAkpvj>t;331lUc8rW9H3dR+huA0!Bf zk|`;U&@G6eWLXwzMXO|zovvaDDIy9(v|tXz@yO^FtcAQjJ_JN-B_Cx6fM5-y@p?sC zc9fia5HO@eWu1%&F?M_h)CHPep#j z-({t1%*@Tx4^8&zLav@~KEYq7iOBKP4?w%ikmZH8=F|u_;Q2`Vw0pX62ics>fFRbD zJ-NY_a`l;RX=2 zYiA3CjpfteYkpa*2MZ7Ny`F9>;P6d7Fw#~43vQ_IxcIKSYhkEw_%7_{s(C4DZ;aD~ zV}mJIy$Z10bwN%uyw_aASQk$lH-7LELI;X~`MjySK_Cd3B{Y(H)vyE2W0D{!>JP+| zCS!+0Q%yvrrdQ(>nNo!UcO&>vPir~`EQeBX1i+`lXLqT{s+06lv#327>eolCCe2dL z%>Lk?Z6bQjme!==GC)Wb;BojNyp7+)`?`Yn5x8V9N!mI-`A0q*z7TvLDSr!bVHF=C zoNUsH+GBs)yU8|#;vA$gV56{*vms1ti$O!){C}NY3Em4BYKM71S6hL4@Z(vq=+B@b z+eR-w_lrfLL)=`&FXlb#n@fLg@YS&V0T|O^1;1G0|33dOS_9u) z!NbAE1B>6BwLI{9v)&BPiclxdhGw|DC^{aN6ZS%*bN1rh3k@+l{p-8~Vyx9vP4@fm zV)~}P$}u&9DM)EtPCUfAXlC{u+8#_g&48t5_g?VoJDX>{_-&WX3oAaZQ_SYf_GN1T zytvBd^eCnvtXTsftc_uMuZ!uC+rVy%|Ms%=*XUmCH`|#zJEzErFt#DwDz3NvE}GIm z{Rqw+Mr|IEqm>F$(STh2|&(+IKW3PPZF zVEnwRpQso3X-UW72gPpAhWnqS*IhjWcBC&J@}2N$-n*g~>v8#wQ#I$j8=v(%cqyKr zB7J{uPQ+`T6~FbmS5EG8r{f2`%#xS7soz~Q)Wf1N8nfObJRGsbMQftfJ7#vcXV}00 z-CyD&BGsrvx9nlVMA%B2mI=nY1WV=U5=(-*l+_@~b0LZ6b=In};#l{29R!Iq%v3Z( zDlJs@OAB^R7x}nmnmatJ*X!5x2gM)v?BZ1$Bcw44hn9@UT{;9JJ(aOyNP)8F4U1Y? z?VEQjeADDN^~#FCSj4?4YuD;$T;N~X+%pnRN%2gn!M7Pj?S(CS!rvN+zd$jT_S|xr-i4UA13<;>{NC8x!%dPHRG2BjdW0Sn?JA{9E4)`^0 zfyFld!MJn25x<W80VV9Lo! zsprfxT#bYvI~wg?!LJZeF5yW zU0&jn@Ta|jsnU91rN8s;(Nw21;{XTF9kI6UbB9Klf2-F*hvG}Dl%L)8l-p(E#$TF) zC1&Ow>$rP;#5-;6h!&mfE74Q`+G9OOB!i#LtEWs-{B zXT3}>!nR6zgsY+&eOrXwVkk+Z)I;0PVr{r>sZD^45bUKfK)<4E{rKS`cd$R$^s0*e zEL5+KA=AkqsFl4$1DfaI+Bcm^wmYx*Ju1*oStZg7!QE>Jk2R9`M<3B~wqNGw4TZ+z;6I%^z zHE3i|O(Hfr!|}}wfZnQxAMg>iup$SEr)r@cx(aub-qRs;^Z|-n^i&%>FC{r^Ab+Xy zqNP=Bd`-_Gc&Xx4`YvDj)rN>%G^@V^&pOna2q`wWPeoV5hT$8EFq9U#+bKF)e>kU! zh}x=_mEispa~PKAg+TBzP8yYUk<7F49iC97koqwJRWb8#7Hov!XzwcO37g3mtz ztO!S$fxl>;5lt%ZQ6HlsPSqsyHQ}0mk*`1b>Hjd}E3(>6cH^qt?E(X32$s=#Y$9t- z&YYf9Q@Cu{IPk`kHz&i0eluJ7jD#EBA%>qx;=`l|v=vTbXkdt1izDW^IY~IhbpZxr z$bL+pB!4*Z%l+UME%KDfpYY z)r!R6r?Poxr`2-g@a>br-ESr=3lM+&eD4|y?clGU(&FuTGqt6Y zL{=innEPy{Ih>f5EogeX^Prv0bE8ZXx0&(C3vAERdz{@5`0eBpjj}y5-Z2}SYTUWx zb`rmnjz(bXNFztn@6}CtpRV6qiMKMhn_uiC2gyc+z^H*w-f~r{P^U2p4 z5ZT-ODP13MvQs1HVQY!Dmp_$h6ku!R8FHe6em-j}RFw2$z?`o74aR)uXtqU9P3woBKl;7sy4# z_OL%1QKnt7*IcJe_tX|z z;{A^!8`)lWJFIM-KKz>eDqg(N@m&^7-_9N{UrRaDkVz|{arT$-tgV{^`XeO#lGhr0B!>s%)!e$_yF&4FFvv$-s4aE z4S8`_&1m~iftvM5+T9@K9Ndc-%$r6!7{IFB+^NK6_R}SoCNAe?<5Xf@$i|C_W!c-P zC*I4A<*?lMNqGS|paV|*puMvmsr{*;b^pK`2k2dvNbo;#VykRb%Mdoyb!Kkj^T4VoVl3m#pLiVrc*5SPo%nXPq|5853 zjVOCD8xR-AvO|3vJ!8>8PkFg#10?Y5`xcV0~ld_n%H{7Cfdk7b{&W&Tc- zpk{s68WOWpUG!D~K&p<_EggiEcRxnJ(tV&H-szYs6~Kfm71DqsZx#2)E|gBaD!S9n z&(=(HI{Wu&Hk_*$wwrChCO;B+AdGJA6#-kSpQMigV0_UTlJD{H_e}@`#rRe8qwc-W z{5ii&?g92s?3fD^G-~vRKz~a*T6anMnPDoq)`xw=j{usf|>` zxOIsvBSX}zjf*9owZ%1)`{TisjSKTKKW0ZvNejdu+f9nSmh+Rbc|pC0`kK~7d`*ss zj;V?A`~$zYG0(Q?*%g3n`X)!N1=gG&u-z-@pT> zCG@hf?mN%{b`664*#2JbXCr671VzZ3*CCXJP1?fiXxsXOZF_(EI+%1H>FKu2iIZ!1 zBBC3v``lMP2OM_?`5Z9HcPckxdZcVT@?Obf;~=I_eFJU&+}B-vVeS^*|9If77Km!G2qelN*~46| zAz`j&1o+@R#>3v+_Q3|objOA8;H{>)J09o0JJgc_Pin}*H1K8h|LlhrELuOm`ScRX zdYl`!4FBF}sIdch?+x9m&c)@7=T;Fq^*?3Y+`n??o&R-p9u&CQd+B8!A!L#~Dx(lL zWwZ{1rjDfUeN$5SgBy9b*VH)iQ_efgzbSXKALZfpQ|__2a=`1NOWx%N7JA9^@rT9? znvGX%RuFov;a=@qajO2*Q;So^VEBukKWK*%e|91)pAdkt3; zKWu9B=I!0m24IoY;1?eWNhQfJqC>lR)ym?pQ-n&@QJ;r<2$ehKJTceQug;I@?BLR5UHz{nNR+_N} zWOk^?P%#PCWJHTfN6R^LiR6@PV&nF5$2*jxd-3<$^s-neL0o%prk--b@RssmRJV2o^B0>Y3fE<(5?g%p?f zJBGkz6KZ0vXpAI7hHdQ@$xxrx56&Y~Q{YNa$+jpQBkg3UJ88q7(PIW1WuQOVXT~!s zYbpWNkiK{uL&2%7G7Uy;k7xnH1QRR3ETgb)7os|H{cky$UOEp@oqJ$wKR92`vrSQPMDABdW$ z3UyQ{*$^#;4hcXjMYW8^ql_BWFsC$$%>te7gV{fcJY{Hk!XBec-$J|l2_2>Yhl{Gf zPgFxmjoXmZ5GSm6_XC>Ii2$!3Q3}r9C{1cb4OF_KcBIO}r7%{%Xd2CEo`-a?-K(m} zI_-5mkA14jbFSEU?&={N&H}(7g=52k5jKaC-qs>@v|ehW@iVl|5&o78jT-T${8yA2 zBff|eA07{}k~kJ~jTYNfcG^4{g0wlQa`1nP>RUW)8h~Dfx|{n+PhEsW)aTzT{JS6* z+8jayQIab-IPD=E@MA7#$kW2SSiCa)r6gQIWFp^&@>JZdh$mKk-4O3pcN=h z^`)fgej*X}S#$WJxCpH^TC*?PeK!m=3sGkx96zsz6p^$-CBqV-V`l_0IfR2DTwIAT zO?xI+lc8J)@cLo2q$bro4R9Sk&p2m_{2=jHnAtgOO%RmAlr*>~jiiP+$89nR*EJd^ z1b&+VfetM#*z*}gtjQ3r<+u&4D=tGNiLxU)t_mA9BS85BvlQP2rX-bb?vvRuI^5fWKsx{8W z8&ji*479r|@pz`rs_)W$l=ta1i}qcwO!mOs`SFoS9v`qfBRQ#Mw49o#S<c%T6tF zdpA98{ua5@jD%B@9#A<>6K>WEw@+HRV~5$7UjOCHmc1v)64!6%WgeN#ix#2>l+6=RyZ!@Bg6Zv%~ zD(d32%!Ep-f_U-Sc*zP0ZRBO=cKVOYH^1z@QoBmdmOFf!2&RR^)YxZ@tIhu*S{^bE zSGq_8^PdbEyjHsrD<9x9r@llstjhPn>mZi}9Ai!mk)QU!amkq1 zuUjDECr;?so{~Gqx*`kt6HgV~A^6FcTYhbMfZvw6{c=hoPswEJHJ7pOW%nn0-`z!9 z0@xKeCS;Jg-q9Q_cRu68UMaJ zzDBQ=o$vqS^4ns;Hz$ri_;=-#6An1G2-QY0n>a7SK z*$7minLNW)b-euHQf(qz$+STY%8zc~#V2~#axb3ay=$UQBPUF}pplIw_xmo7tmWPp zet~W+u(7`M4mHH2t`U{*Vt#^DWM#4*kJkU+V zK`p=5aH7L3J4~aO*emX^9-VXqGpBbxvLA`#r;=lUACGO;zV0ycl zLRLeIKgNiwZp&010WN#fWm1=-T9>k#XT5ZUaPZ~urR^qX2lUVPY{S3^vros;?xdBn zVEa!))oaX@7AzK#Tz;If72Sl>oZkO97}vqmD*5=CGB_L+Z-K0qrkA6pb3|HI?=lXb z4|})<_%=P>ihDqOw#D2if> z;dz-`7uO2;HhLI(dOX3sLCAo;cl~1;-wBi>NUTyUB>4#CJrS!vpc5;Q-VekHx%w@-&Be+?>(=as@O!|K-Z}T=%c!CloRY1CXY?2@?;* zIGYqb@Z@|K87pNJI3I#ny-DqX>50`uU8*w0cTGez2vlY;*b9Oo1-w;7+2y*!3X7VE z=azK}y|iQ`vg^658U?NxNnN9ILtc6x{C8`E3j zcVx2b`ZO5=tMB{Hc73Qlm~S(O!0bCcxy>ACm!~gnD}Q0_3 zZ$7GMe#+usd^wEUQSv5P#4R(=Y%XXS;r=&wm19On0}X}#ClD_yfA(Y$fT?Ql3rCJ0CsI&#Za&{Et@o=#wnVo7F`CvF@^qOi;Tm(hzY{4lOXc8Xw z%D}9WeMb+^^(;_7ly9mrc1F69b@Q;Wi!0PcmUYHioGdPJpWPmxeR{y@3-{Zh@z5Dh zx$otqBbFe~de^a$YyMGU0j+ z%jmCp{V*&G*=EOs?BT<_e~gy&g0&NA``7iIsU2MOh&8ieq_=*N_l$_QGFy7wcZ8bU z7qfPOiBk;^{_)AdaH>IAT$zbm6QMig6)rA5BVM0-i7u1gx@;rRxw2Uy zx!Bht$qu4(18Q2|mvo8kldA#s`?l%3N2Os0K_-<218 z$0X~y&SQs;?uZQ*=v%!$iCq$}=(g3vRa5}EWF0fMd*kDhf1N0$x*1#V(-*q+_xjjR zmUc~OJm7u>O1(&GndcIy_2KLUcf^nFp>$vF2t98umx@l^SS(a|m`!+2`;tSdtKdx- zd$)`vwTUI}+6O7?E%82&o78%M_w}q%H{5{DsGf~h%*>Y9Vac0n-1nRGWx03KYL#0s z{LMNSxKG4Q{kX(zn%vm<0ytziDNL#IezmxzxR!80-wfjxg#N)*{J87eE?!hsm`fG4 zebbEP!FdcE1Ce=RuEJQ-QCZwlnkWYKxJ?-7SF*?i0X6YLbn!P=1Goq5B@B)w)!?lM zqjXbEIn zDoNs=i1yuUBKM^M&xEg{l9^;xjer%C8f8Zi=;$?6D?k*hkkdSFRmW0Eveh^v;@Fcd zDe2jOH23MPAw3e-ix?=|*b+_oz#Az76U2^a4-;N<4BYvZKsR3vR{^bueW0UpKciK$ za{=6nak2#qLFpAtH-G)%4LY;{NXL8FR)2$MIVG?=SL2iQ{*O71exEMRbD*2t5Q%YQ|!4q+$C; zh8Z%6*~6r$?gS1O=keXT5Ajs2m4})%y@>;qQFl8@75RgcuEuqglxiZN$GIx_Z6N?| zsgvQ}l_ySy!H!fan_(4e;-*?P)nFGi@L+-Rf{cbj8N+6BpRVu7%f5e4uzmaHzF zeI3=vag|hEyl!d)E96QQ>&K7ansu;eGt|X5C{72~jAhg_CY<JQCm>YG8dwHT@8ov2+*qY$~)u%7h9P zF;G#y$bkO=VU5DAaq%RgSBoVitb-1qBV#%a9SB+Qqg({M9M9gg>$u}hgp0x9SMp7g zXnDxbIdQib%EMtC#^8NySkm@9Kz7xW9fvSrWs?SETqms;Np#^P$PvE|`!T2PBUy5wG=tFdqXFQ6f|&rNqU2VA|Y#(epD z>g2>+Gw=!KxU{7g=1+6m?1NUPlX}0j+WTw#!3ur!yE~2adn2p##^vSl^%1c2-aZ{W zdOd}jmB)^=zk6T_0M>D~&gZ62>)S@UGOxKGIl7fuqHXfS@^=r)zUOJ%;h%v5bL<)s zJaNo!R=e02?cEqI*j@aaK?&7a9HP>VtKJ7Rf2>!_F0&&Y(OqENHM6%NpIdO61KsDE z@#3?_?G3*Jg~ujdbthi1`kiy-mZ#&1XUZ+#d(*G6i5LBBpeg;cXDe;p7CKVeb#JLKi>`jg^5)^hRt?nAW)`>$Vi2iSqs#M~bYu+Nu2 z8vu=$(`#VA+|XIy3r~0aKI%w}z&Pao$WLKlaoab{`<|Y7MW0X01vjoQ(XIm*t&%41 z5T%!wZ@5zfsiPHv3Z&%qsX}7Igq_4?WKu`IO=~R5oXcb+p90|Xqx{wEi&>O0IN8xm z!2-NY8Wu*0ym`R{`=-#sTA=;>nai8qCu_^R8O0RqtWE#Xp1Eg-?2VoWw>#&q>Eiyw zKMDkKrB^K2PSrM|`2nl?3~O0&`xc@#=gpsu^LrZBuP=Wg+qdkTdu6JYZtLCzu4*T{ zvad{C)*CCR726z&E!?s&2A4O=VKa!c^&8py80y=W!ZTla4n81IPY!`q(1j8D!zZK* zuF{>qCo=VtOa~Pug0i9!Ex}Tth1N?)=TE)@#@#mBh5Az}>x#_hXRY3i0=dI{(>}ph zBlwwRcPHhiL3Uy(?F#CSzI5`c{ZV(%=VC4X;5A|GkF^;ex!xfBzo)zIyv8h zdhST2Yott1FLw8Z@S93K3qr!1%~ftGzDQHaH-CXK9B&bo{gbU_e!4ARbrZ20^J; zJl&^sOuE&pM#mATMz`RqJT4ZALG_1!uohdV+GJx=c&;{((L| z$%Lr7Wwr>t&|A0?KC#H-bTJh_7ZyOCFCu%%nzdY>8WT2}4}_*}+;!`x-XboPvtLQa zV~4E+JWXLiodzPqlq-Rpq77Ak%3$f4t+Y<8VA6yBm*Vc)L3%mTByWBvC-Y!QtN{WC* zmyfE6m-2U#8Nh9KlC--3+Q}+=iJ?V1&j(DrV6qQReocq1D{LdpP!1HuZsjoV+qgyO z>C2goboxAv_~hMscPI7(<>dKv7GwE~4GGJ2V> zg7?PAbij5a@g4d05mZEFZ4mj!+y=)1aT+)!M!U=Kr0CI}<@Eh@1a!t-49;Zck76ID z85u)5L$Kyy`31ZQ=&-zME{GZczaJ;4`0w2I)c?oCxNE!| zlopL$&-{G;^ZKFx1@%I&i~oNrj(+QpU^DAx8fe8Bl%pJq)fhDX8XPx!lj$~S1dO;r z-VZto?-zdN8!N$++}s%N4;$?(?u6Iq&CS`_#zB8#MZgcv-Y?(u{3ozRckqcuW5pe^ z;7SL)e0FD{f1eXj;oL7~*8K5HM^7-vc;{%t@|V9fV))^^RCiE!j2o6$#jAe9pR;|C zEq(WZ*T3@AkADgAa}_6bGgMXnACF&vWkCS_Pd$<$<%37wNUqU(zj3D0zQU?5C64PY z%L#W}>zDjfN|Z7{xy`MdPnpdH=DN*%e3=>Nm-))V_w>9dom>ysV{+Bc(GKbXLEU&wDLj$Iz-I z>OsbOAZ~ZA><|Z0hsqiH6R3IG%UO)#kuZ%P=BJ$|HDi8zGQQNU8`bUJFwzyL#f;M-UAs?p<5z49DEj(6 z=l55H;>!`gs@05%bxY&zKP`W8H`4)s&_+;XGzOz0uT*2ypaBXI)i~Fi2$`v_gT02$-LpBE5aQJ|CmX!_#xJv0ssq?Mp zQ43(VKFXrYH4|grQI>K}P3r)z6gL)I*4h2`KZ|lNRCGL9L&wW^H}bHf86fo8-M%Yfs;_;c`cA~a&QDAT<-BH+0B6+f(?&b+KveaEI zP%}Pg_jsmd-Xwv>aQ}gt2N8{fOllw8|Ceb;3ZW%?UEYxP1YxF%cpIoj?)!#n3R^t;nI(-$*6IF04k&7D~HV(5yt`hnY2l6(>mO-&v6&FCX6ki zZ;oFvuDPf|oqAILvcB=^*BA5cq4u{6H#NH=vKC>>H0iO1Xl37sAD!p>hUL90mGfjQ zax4iBAtIaPl<7yd?p3>~Y@T#_yHi(2%-LLZ>#;K?%VySuk6tFb^MufPGuk#m3rX*G zcg&9G)`Ur@{)_~{(TFeT%Oh3qY1a$S=+(Jyzgn@3+5`380Vgrm|Git*U{tT?F*rq6 z;fj!+v?fj9!+IUv2kH?fwJIE8l0t>$DExhJbC9@ApxTSwh$Y<>UPSki3ylgYaodn0 zX;(}PYX|Fq6vQ}2zCKnH`RLov7wat9u#(yn+CBpex8#<6b8%y0OHxgmDhPhiWfoOv z3pGe3{V`aKm4G1>(RB$xJBp9Nh+zSRI3pO#>rFD0M-_oO;GL{clL8Q6Roe$}DqdaQ z{$)7$pFz9B-3{nOM3aG976a+{`SMAeb)ID4KdEuOxFmV&R#?sthS4H;QG2*1^T}D) zD8k6K$W7*jCrq%O0LBBPNNPoFkfH#fw+F-4Rm+uqH?CfM4Au}7xPy*k0^kHd8TT5x zArzWXQA4n(NeE9)2;Sd4RWb3B~uNcNyAr9!ksNT&0Ea12m0WgTF!)|x*%FlK)Wp1V{ z1ZSpO5+qbYMgef8odFZo;<{9=qS~h&1IktOu!!PEA^s?q zO^}|r#gjM*iiv=OjBiowEKyMl$CdZMUhI%=y3#)gAf^xK>c3C5hIg|hB$-_4j_YzW zNJ)&VTsh+yNaaD%TLX}Xl^xQhfwNL$`V0c;K@Ck8Tz(M!181t;4z?JD)CYAbSqOe; zN-_>0d&W#MrjF2m`)vgXtsP)LfO1V|i!l*ETNIF^lKWKBF@zdOD4Qs=aDxi zsIEbJ-N%Uoj12F9MmK_EhbYLf1_^mQs-dZ(0|pia?DEeGC!v50ybEn*#>Mvu4kE6m zP}1@0qH3~`A|f{vw|dD`=~<=)Vow!7n1UTu^yKY`hoiGD-1DrYfyM|*5i=Guj2r?o z*%!{6ML?A}gzQY?{(tu?)lfB{ek-yFuub4GQm)Oy*nCi90ota~TCwfus4Nw? zSTTZ98h~q>O)GhFE}}eUIUxm!=4!7D%vluN8gS%$rB>`&M$To64>koLW3|a#WBDm> zmm~LZ(Cv1@5bjnmIn?Hc-ZV@j`t!1b5qLOM;)x3B{|*T^v#p7B3S=rZ>D8b3y?IMH5e2MH&ca^+Vd(wMUr+0gEvFRgyA3a{alGh5& zD+MLOw5WMp=t1$8tQ;t?5<0wvb57Qs}Eu|@mQ$Ju66w_3VW800P$!k<*Y_2 z6RDGqQv3Cj?ztCBEhtyk;dh#tPj|JvXxhIz{hhf|?rlELU(H{4mb;8wd5df_{-f)r z7TCJ+yS0VFSfOS5+$)7ynWl|Wr|g1j`PImE24+UreIMyo?(NjIg|D=gyp`B(??)~? z^)vVE9XhP--aU3~qMX13I@mPKh}O+qL$nE$dN5kVLHD`ZxerYi%w5?r-j)3yZ|#3lUgke;{;_^z z+3hl3&mVNUcEMP_6`&EoEQn40bAOXV>`T!vo7YC%Apu;F+`?Yfy8Suy4+_O}6eD!p_h4r40mnmYx z4HSAL%M2CqR+#bT`@5#OF)??_ILLR3^mSbfiNGn|hOBK!oB&doJ#H1`#GmOWdKTn0 zns32^Z=!%gMRYvOW-^tp1BrcVxb7ueH3&rX+$wx*~Jn z^wD_Y8Z$pjgCdb=tIHk!IS?=c2Awm7M*7h_X@8p^<}GkM+_enne>Ts4=E~iy{hJqe zLJHnKcgk(Qo+|BR`_1<29827o(ud@AF1y&1Q!8+gOFUV>&M=1!{3?FsXElABZ1;Z0 z9UEzX&HYK=aW;$l>govaVicf!w5KhzQai0@u0J5N@7pGZxc(j8nOQ5_*u!z;)=(KH zH)el;{k|{-9uZ1)de_8Q7oTk&vggGM^6Z|k+}Ee*QLQ*{qH;H3jvT>2sZ#<35zP)J zqJ!<7H$A6JCZ1MdRkdzRLqdFTq~jFSyW|R~a5i{cKW?Je-9LO^Z=CK1Ly9uw6I%1c z&K{@a>sHeVF>j(fAfKaH;_m1z;1!NU^E2#DjZyPF&khT=3-^|AIv*tv7H*e2%^kwT z4(<>G@FJA+pbNxfE0yQ}C2ir&0bvFfaY@S5V-bOD;tu)&W04ga6hA@+o4Pq4Z526% zYqVBF>TAi|DGpipkDM^sasBc1*7$xiiJql<*&GG2*JkBT28P^G6a^4eEv@QzM|SJm z*)3`bI7&%9)3|dp`YlI5KsX$Y*8gCK=n2ta(q+8JV25?;2fy``eQuuAy+(p^7FcSF6=QqDatH!{)t$_LR?eM#a}0_r}#_uFae zTtdE^ z%N*vTv4hfx9xpqyfF9Rko>yQ;9d8dg>O9RGQWyu#M`g8TpdWr)H)onrOw}LiyB0-C=!U180QVoU`9*PBR4z`;8AmV3*W9c2#;e~$$d?2* zt3)iR!tw!1h5&7YefCToa9ZFJ=Qrg|QJkc-C)CV_4-=r1Bne$}quB&^h{jCGVHVLr zb|P(wDjeP5{?VvAPt~_lPMvKl#AA#&x4N;daYhMpnS;k^sQ-r*)r_Zw8qcnlTM>$? zN-@apcg@Ry` z2wGjC6l&an^YXPDJ^!?=-465*&2w!(pT&NNSN`7)Bggh(>SX_2@U?sX`4#xu{qxP) zE1$kC@THskYzqpoE;ZV=1q**M^Yi%&9~;~~_}-?m-GBE_8`~xR>y7OWq6X|Gi!ZMP z)_4!UUHLzq?Y>iTQ1IsGC0O~-OR#3^AM@ray#*Hv1rX^HHFuxH98Y4aDH|y%?1~|F>ys=#0{7h<=WfrepK6WL)H*&_l{XGRhY0Z z%w}dUMlZ~Y*^6)+78l(0iaYDs1DWR8Zw_7%HS@0%bv`)j&+y(^|GYSAP1x5(Sq`1X zS^R%}$lw#Si~sDA#K7a@hxPOM;;qj#&)Kq{3*OC-Ey4l6qBlb(Dt*#2Rh=^JoOi*k zb7>!`bdr{sawVoEg{w_awLLsBm!9^?_eJCqt(oyhF5=?dpFf)CxyVL^eB%=3`3FcR zi;nGRkMHl+2mNQ9PIvbU{Gqy6e&}|2e%$CDpMJnC=uHJ;Y%`QA`+S2u?nuv=^bYC= zy&>VhZ_e7ZrSD6Po9*PR;EOzY6EQd;lFx|qj>c0616_-WlP}1E((c`a(d&%0hy?jr zXHNLw+B4Oc=kFTh%5>`HofVQA55WaIuk?=UlJ^{zG0RmU8?vB9 zQZQ08`z~OJE7+%=-t#*Sq;1KYbX+phuI49w`yiiOAIs8?f{L~V+6g3jiI{K+@u?k zTjJnEIdIs*SP!+Hqt2}*JKm!&A4VQ4J{}m_-=ms)s6H&oJsyr?f;_dXx2o~M1!}I7 zVGHixFA)A|QzXoVVRe95k1>uw3cYk9AeuZUxG`#W{==PHQLf1eEi$F5xihG<$bdCq zljqB5p!M1kl_OS38G$>UM;zMAJ7}y(jcgEaRy3^VIAkYJ`3Wx_2|!D38S| ztQ!fw!WeCVHqoWiEXNx8Jr#DF^UB@MD|+f){WNzI1r~F8MaKC=!~KF|d_cWU=XnyZ zcf*YynwAyhZ9x07TRnFxlX@>lgbBQQRLGdyZ9@K&mrV`Bg*AwkkI14a@>Zmv_p6y@ zb4Z^o+weP9D*a^;LBv*GdYvo^Wq=*|z?=eaZMTr|KJPG6jC-ZXs1t0xM3Dydo)iG4 z8%7C|+PlSpn%(YS``T3xic>ejf-AlnY6s=z<2(TU*pflG<4)ju00@8pm4cHNAgxeQ zSVDlAG)87h05h5cZ-1Jyh!)u^_mL#vA3LcT;HgR}%HoU_`4q}g+CWsG0nLUmXlHg4 z2bQ87Ta;ir+VJVa?~`08%XHPMicK+Gz==x|>fn38P-wquN;?_q{{%XxqBdjyA8fr1 zSR3b^FFGSDxk^s%bM(H9w##;% zc28F`40w>ifI=ME%?H9tf>Woq3C(fZoLz%Smbm#aF5By5`|QS!w-4^go;8@}!QI?@ z?q6=teeQFgOB@XXNsKgK|Nrm*`|xn^7itVfGyz=`(w6MM|K=_L8c;(4$p9K=0T{3j zDS}uygK_=>ZwYoamIADRuzNbPmq?Nk5#1RAg9XTuV-aO2l6eJe8YMWe6%T=buSW`#?bu0^O!7$MDj`B+CGdx9f$@dD8!0!ww zgXa`d*<%%lh|C03u;Dw0K(-IQX-xtWVj9OxW z6$NMw60r@~O<%d4O4C)R!aPyhj)#J{I?-va5IB3 zr5ltleuagNR0F&;9tNk3>Jz!g*f^Y={2CNNce+{HtFdmgj|E~@m#Um25=}x$W|3qm zpg0L;kq2g*0<_@RRY#l_ld=mCNhW$?ll`yY|S&;S$0A6MlfUg+l zT+2<1TemPN7;M9@L<=}P<et<8f?xe@>qc%O*8=*xTdpP z6Xjq+KoA6M_U4SHx_}D_oKA$D{DZb4ngREqDXl>?_6K%ItHG42;mgW~o3V~{wYjd4 zmLv_}zmu!w4|8kh`4VG__)(s-cg+~da$erZp)g`s%NK=N;DO6k&`qaNhr32-xXG|z(i`gPpl4LVpTO$^|1_+&4JVroW zhE<2fR7y1@lyGFK`(b4*zym(KIayUTvjwf4ek{}A8ksH``5;=VN5jbojY=1CX6UYP zxrLgiIrUyd8mtXcJtB|Y(X70Gpv3u$x8MkK9* z%czW-fzy!m8{d!Pq-a9WeW*K=CkLa|OGYwJlP>IfmfjK--%ec>q<_ z-G98nc}X0rD_c|)ugI+`#ZUcE{0*$eI_D38E5bH?z2khf@T=h*t@bVBObKWWsf%df zyPpx}&^Oc5U`Du>d_Ev5x!Gf$B{BtXr+5u51_Z1bmL(_O{Z8=><$QJde{UYXEfYHS zCDh%=zQkYR@xJtga5Dj1J2e7kzv=7p@dluaWz%Qq26J!hE0B7osC5kSK!+Nn5z)m!W zCN(pT!PHEC7oXVS)6?(Py-wG>wBcweeRNVQ(Ba5xu3COqKLBoDUjt;e<^itwTJj7$ zxYltmKWo47pLaHklLI*%V*0H94J!vH05$|1|Fd9jy z4gi)`0ul_VG*@)SSeZ7SB!MgPzwKF2aDKKrcxDrq&;IkslcZJ3;8HHgU6XHNu4PBA zs;=UyGbUDv6&<)*f|J=zLhjSYH-i^q0SIVlTFzvS!FH6EGj(e!if9y+mX!;Fwn#J` zf<&7j8*>LX&10UinPay`K-IVg`a7~5q$T4=U*T>j0Ga(V4|`)59s}UvBy{xP`vpe! z=_N_3IQj9DBD7DC}aAD$9MVw`n&~dQ3V_vFT%dpN^ZV2BW(~jCK{9p@hYBHJRlv1px1<_Jl6*c zpcQ$v$;9s~&lEPB0`N*^O4y<(PPUM%f$=BP>nl0OB8ht50u!S2i5*S(mtKKQwT7;% z+>FCr!86)*wUe|cfZt9`I!0(i9ex=2mWM}LqZ7U{GrgcpNUvwp+hP$OID5%fX+pcD zkA%8{i+Toru(MT~7p|zE719JMuP8Sq+M@8~-PbC=>imoj(+exQQ4O!~`^tsH86QL# zEU0`JEo?0UU2hmvVEwsaxNf(J4g>&&*e1I62}sBx$K1WLjd71Ff{WA=(_;z-A4P>7 zY`mD`bifL?5Xg*crB)Ygp;k(TISO0E8jJ)}b4Y`b)h>>*Z9xU^d<+h$V+V{biL1jw z8iV5UTO4kq9%*hXoaggG8}djIYe@uZ6QdU|MxTYD|Qmr0*AYk*Mf6Lm=R`kO#0H z;Qbk|<(#I#hu36fnxLx%jzKHUQ@j=~zit_>?Wz1$0F+$&Kz~mkfzup@b8qbH9R$)> z$96rkX%Y*I6pouoeDgjD&Jovy1%E*lk>tQX1guzcNeyBcD zEWD>_!GTynG0`a05Hi4rKN1#+vPJi5lcWo%+G(lbn&ptfu(u|Sny$?2@ZbgrSJ zPR>@jzJx#R|w(ps3Pqg}S8(LLQW1qWfX?NE-IR zBZG)oTCoL}kULyw5fN;U0u<(;8cU0l-q0CI0q-Rs>cguvVrCmVYJz7Z6rSNCA_xgZ z{T}wzN+QqKh?UyXCr9DUV8+Ell?T!SD1)JPvTHU6TWLdWqMh{ueg~Brv>UK>o2;n` z0#+y{Ogb4Rz@}J7fl>!bGX(zt`6H}}BaDl%P}#Vlc7h#3WIlShx%|ol#{v!b>b;pj zA;Gn-kl?x~Ub)SSTN?Yz67G9}CpV%0bp%pe@15x0=`Dva*T_HXg{MCXaMa%&f8chE ztLp)DVKe^u;_b(OonKtN^ZQxn*RLpQ)-!(6}a9bQfTe!>R(xhlYo;vT`HO66G7I2g$N<+ezMUtqE6ZE^gs zQqbDNhidXolI53LMYlXC>J|S)#qNqIqDuzy-7Jst6Q}B)akZsaFCPyF^L)v- zt`+)=|KBg;|NT>=(9FQs?dsJH1OGqYk#GN`oxJ)svB`3=Ws3;;Lje1ZhTXXyQ%!-& zNN8eVCv*F-n;p{Oa$$0*+FaVI4kgzs@szK&8UZ7Q@e4fwQ&`0&YS0f9i7-vWBXmz^ zqxp!#xWd%|!EMJRe$Xj3l5ar#-tG`N++p@hL+M&VROjWuGTpXo6Jkf zsb4AMK+_ZRFl$EVFa+79t(Asp`k+a$TWS=bAix@#ZmOwl4Vq0^4~J5~<^dNSok@Y= zJhmsfl(mFr!1C#|qOs&LKIxDj8^$FZnA2D#{G7g3xaghXiZxEOwFws`MSqtHBf7%B z$u?=T(SXZjaiv0Q!QmQVumi&eu-dTvkWue9$P9R;ZPY{9P~~CWU0XsMY$83!^>!j> zFKwWDt?ps{Vc8IbN$w>SfNM~@nkPM4jDrT7>6a5`J07jYl{928v?`Q#ih%_Ym5h`yE{o*11{*-uk5uZ{A5&aW zmwcM;lVvVy_;^yR#HaW2GkmYEvD>lbtFk+?j5i5_=~}{32M{r2z(e~p0CkN32>PV) zJ&~4VuxKcK6-n}%wP9B5xr6H6a$HWA9Fd@im@a2&&j>$Cs(3X#%ylOarlBk)T7XWL zX=h}_o#kyAKfRNbqvUWi(CI*oR?qF~a@19<(|qGwjPBabi$uAs%e6v9LYS(7*N~eP zI4vYfZan|fFQ{@M9IjKeZwct&bQz72QB?8|@=i;{JH^ofDtt;bV0dm*X+5e9b8?14 zs;QZfIl>S0v_Ci`kpzQ=c;3#*v5IwMx!$39CZ{8TQkDO{!g1@lf2IEe{JxBD6GM5~ z$f)@H5t&!f4$DC!>SQm*hxrEEMI(8?QDW^jI;Liwoo47fL=MD5XUqvMk7g>r^%GUs z)PNP}J2K!I=TMFjn2xVbgnkIP@MxsSQh$SnXBiO5mn;&KI?ui zPiv@>KS)yGT?KfSRz$5p3qvXLAg?jqdEAGPEje0p+64FpPEC;?-4(X*eY%sfF|8RP zKXlF@5it-N;;5T#R#OqdFB3}x%YgRGf{~Q6Xem~ul%}R2^&?I(sfLIKWLe>*z6x0zaPvCUvRk>LRI5t8y_^?MskZN`qn#QP3 zP4W=Kc8&rpLUT`jp0|KT-%oR4sD39)J3b)CNRZp3o(%UE~XpMcJLCjma`hOT4XN5 zZo>(ugLhMbJeVxKd zKP3b+xW=nWy~--4%u-=#7nts8$)G}UZC{ubxiSkNMR$VgSGU_zF$I-b+{iF2%oirT zqbR0wb{ohAQ%ON-G~ip+8_`Zxx6ZiyHte@CMJP(#P)2F?2od}s^L4{pAq=P%op+W) zI#IA;P1X4>R_ zTUszE*clQ4LSerY0NMpyY(m2pa62jhe#k^HozS=`mC>M<^pD47z(A8+2cut_W9k~__ZDlGAsIM&x*C_-bq26S*?tE=itQSs^%yqIaCM6o zJ;joMW@Vg$57{dsJV+$$>YcDdQMXoR3Rc|&6bY2Sf^9+Wwlo-`b*Ns{DrTPkfs4;_ zUK2DMJEsDLl8y=5aC<$JoB%bcR!z`HL+z>{w}USwg}uB?Qf+H>3{wf9UrVcXa1<+) z<5Wya1_PKFmYh=}CyA2(PIts$bdKq>GLIHqK&{knt&~~U(knl)2l#|hxY|+`Dnl!e z1i`=GunGe8Cd58yu}gKZtJsZek-ofx)bTbeo;x^^Zg96Y$eRWp=W0ee}O1z(+OmW;D8oU zi!E&m0NfBV0p5792_Yy&(=;&7JI8N6%DXcnmCC|kXfOkx5b)BQki(`z`Vj(-ok(;G zg#kiJFs!BkFTjX5PCm!@6)UX)_@2=vp!t^DR9!+cIP9V47U_VKcK3wpt^f_T))(T}XR! zYS*-STGG0F$GPfB<-vQ`6HfxXerpGiur)Xp=-+4TMtV`?k<#?Hi+dLJ4zqX|8m$%;3HP0-bk)yQ=`Xvo_x5MOj8EwBHuJ$m+Ebf9-9wggT>o67yqIw)p#9u5 z;9RYT&Ioh0o!@irKf|qfjCEY%Qqz8o3xQ!1PdRcV5;IoQ;?DubA!zPu*S-H%h2M#2Z+N|zI5a2M;#Mct5<&}E zYyK=-p!+T98E6#B!GC;wH3>rIpWX`OdMT0V|K`GlYW0loIQ>NTj`;dlE@fzQnRx6v zS%Try1~8gw7Qvn7cB?R_C5O5Q&Ta{9jk zr^P#JURZPn8>Q;;cj3uz0Uzzq(bB}LW$#=#aTI^}&W9(CHt+bfa=8g_c>DgzkKVhm zc~f)8q2Ikx*(?SYKg|5>rKic(O!1-Y&V9ip757DqN0$Sz;68Ou1m^5bG-eo&g@ivAU*Z)ElGtCb5?*8?9^4Dg4t8$8d*r%s+tcXn4!=(Ga=BV?HWTBefG}iB0juqq#|!4W#!`9ZI%od`7wKOwddF&?c^?i$ z&<8hNsNVf$bO{{ptl=M&-zwOpJ>z`@kI%LWHg1l?rM_ooUrARM?Roc>UzyN zADU4-SIE`r`Pyqj2l;45*#HWcwe&5uR4kebp7xMeMN&`I0F2-TeN?Qgt-iGoT)nc5X!u@I7MA1sg{W0sS*b=fpGmbKJ53Eo^!p z_qZ$oT1I?8M4dy+Q%!?=t_Of3wT3oCm5t?Vl}oM4k|3*Tu{(Si9hGY2tFSXyF{A$m2vREsx8(-*LP^Q)XYr7!^z;Iq8 zGokwq;A>>QmfMG~J^I^n+CfUg1Imp$>0zqA)={($Eo-x<)D1z;Zs|S4Z66mH;Y0vrXbRDmrRbypaBd8$hY>cMZR%)Ehz;=jKg5bEhI6T&% zH=|%&G@sbENNAggAgZIObkjNoaMUjSQe;UstEHEu)rxuS%*bL8tnp6u!PChWKuH0b zdzJh8sp<3yeyVRg`$X}?9?slR^wXK2eYE2^XwLLa$x{NB&0V!936n-%cEuy(B&FI5=`JAG9c2GOe9oDwct4tGbw! zPW7&&(-2c4NmcrhVf3o6WvPy9L=FXGBZt5YQ-@pbnwfP4psoY2wufbsR;d+K+^sk& z3I5CSdlz^N(47-9<@EJx1vajb1tltZF`ksLGH)nR5kP8m`p2Ep;yhgJQO^XO*VU)Mc%p0 zf%%~&e8!hAC`=!rnWtFp5>8%j&8xfh8 z`%ZyuC$JA-Kni@a4Otr65BTX}sVsJH&#V-P7J+D)I=&;?sm=aJMfK{C{dadTN4H$4 zma(y-Zsfgs(8RCLAETeC6z+NP(7W5KuAJu@rpT}7Bbr4IP^%`D^a+dH!79Z zpp_x79{bM^!{>_xLJW<P9iC_zFo316oF*w01bymV?Rwei%Zxmx*%t$g^;H z4!v3#hMj3yjV5<2O7Z}IkTjDmiqQx3#pE=6>JR;OuuFogcakY0=(->LA&e+9U7 z)Oce&2pmA;t@P6XrfyURd;YO3Li?9_R|^+jR+>)b=I9)~mS5(9IXIPk2B?Y!Grgw) z%NLgJ_-?^emU z$Hp@nM~bOJZB8p0FsYNL%8VQVFv+9NsP;`&$uRGZ6!W73AeJypK9V3{Dj*DpG+aC~g#lNN zi9rLn9=6~E|H1>y4~DXTw%dznyq{!rw*}f7*s=VUf_h1E>l9!vIS)f|Xbez|9xJqz zz~N5`gl(|Eqyzg+0T_@#K1{Q!yOXF)$_irWl%>Ja$)pt9jroldgw%R&s;YO1`W~rU zRAJ;eN0(~RfLa=DDOxj*hh_fNSLSyCJ+F80#edv2zWHyXD{*z_*yh#S z2WS3^zQj$g#-G0bH~J;|A35~tOd;?#zi!RkM_&QE?@x=7e>)5|7O<>J7nZj|z)75= zD+`6?O>fVjaXWPPk1BAeTgi`ei-m7mCRe)#joUA@+BHx}zRd%(@y!B35V(nBh2^V9 z{ygmLEWFMSynlpua(jhRd1N16_-BxAFz0{;jP2JEG(Xel}SGE zh+|SpU&9b@D`k{tgaUBffa%}$?x6wo9U}&Sk+0V|!ZrM3Pl8_L`5%O*X`{n2V$eqZ zp>X9sylgxVuh6t?z&Tpl!HDscXWt7&kO!O1Iinf*=Qwqy~ zaZOfzhO%K6a&`gpP0|pM89N$aigZbbJJ-rG%0a4b6ovD~wT+h*AG8*Nd(jYQevKcS z)RRVE(z`)zn8#0h|MtRvE;JptzW}Q%d_h+Xz}26>hg$+@IlM2x0^omWUJD({|L2P^ z=bpRy3TkvQBmM02Ru={!ivx?dkTBhWHzdv>BS{#f)H*%r8C*&~!ZQ_KuDl4^54kAb z89*?71Bxamzt5M_CNiNUykV$Ag;=jJRjNuJT<k^Go*B>vHrnNDrPgXeJcTiU^unp(j3 z4JD;}8lc;;HVbOS8EHfWqYY_Dv}g0ADuGWdjcWfGoK9 z&LD&J;GK51r_7krl~JdIErB@ZRSt_0yrTu$w;oa%Hx$}l5uAxumdJdaA!$w7em==J zcA|i}CXa(7XbWM0%qCNMkkGz5wN6is^(aPBoZ36N)U{3)_f2!gjMT4UPQSM3Ymg6X zfL;1In}&F$;IYM739(x>qlMIf#uy?RgE-CwVuqqAZ7MKvN)6SX^tge=V+8d?(m7oO zJgG}Odm>`kn}(ahIv@>)6s1DFr1xf|Ek&UjU)n01&hRr@_yecZqhzCvvSDAA4eyM; z(V@z?Uodl+d2^LBBK*$-&DRxepHyURGZ;p zkJ7a~>3f(Pdv?w^FUi$3pJ_F}RDj@=bp0~CPn&T{r{fFKOkaSf#?>xc$qH1O@}Z*M zJSwZ_ddKyh7U{tU4{5?TYJAS8aK0J6lDej-#i@griG2z`>a$9q*eIJJ3tR z#IsY_QgV=c$UNk`$j7E-?Xi2h$u(n2-YZU;I|ZVxI^P6|d23-~U;|smM`J{{eXjo5~RfmLk%q{CGlj z+=D-~Vc@k$*jGLuCz3;3(B}bGAy&o^(UaXNVOT6m&>E%t49!W@36O039OdvqV(0HR za67T9Hj07J8 zf!N9ft%VLi<62aQj%;NL-$5B_zd~wrPOC&PObBdB36S0liRq&j53#v>Iksq(W>6Ia zhLr+B6&2vD?ye(9GD3CJMmq=kOm*74pEZ~r!$7q=XLz>Q{NuRaBA2iLMFGR9o<|aV z#$p+{$7w^o!cJKS;Fcko4;Pp9iuel4)h92Vsz3s(Cq zOug1VlQP)7Nl~%56J>jImW>`el32M^@>q9IsVx}(rPxYsioX$moeLAI0n=83foTV` zSg1IXjC8U}iu+fVniF zcF+o(0||(qWdw;a03K8!;Q`e2!0>RhsR-u=j^vCI5`ixOWw~EfbB0i4LHb_0Dh~#y z5_l#mP&Ki-bgmcZdGeSO`ENF{phw z1@p%*Q~|gaR)G3><}e{^fjsM%*u4R8E?{}n&XfIG=NQ1sY0DPiT$^@QBUA@07ndqy z*c1-e!K|W>v+eoTNV_VbNSonLXn>zu+XVxVd}}{MqDTBdjR1@ih`(NrZIiIq#`v>_ zT|~mwGHh^G4Az=J7%Xq>tP~Zw-3N}irrTx!8XSpwhUjRnw}7Fx=_az*D~2HKHf-)N*aX7rL2yah z3cKYnGghd2Ap6l`w+#u*xf#1PYyu(0@-N%q=w})rPY67Cfw<5Ie?m{e!Fn6SC6Y!9 z?zHxd>Ar@nqueM+%c1aaTO7kmF|w45s3QWHvy{QrA0Cz>HY@-$b{4FA?AF+*YKm>L zUXFE(9Gd=o$Ex&E==R{S0)*@Zk-{I*xRf}KU4{*+|6UuM^(X?)enG|EU=2$wb5Vf! z8j8W^ zYjLwN7_OTFCYqo(tkgF4UH;IRq9sH>-yyq}rhVi0)|sfXcFNb$+^vUCOb#l-Qk*;d zqn~q>KcTOBCj0yoItDO+`w@xP_jTbpKEidQqF<5-Zm7jY!Qo<|;VfUz13(_q638`+ z@F21|&AHIafkvS!@-Z%+=VHPd1OIGFYL^@G{7+xPXsQ~`x=NMq6J+YaIb(SG?Hswk zo-4jy+a=gGnk|6`x+4&LZ#c}-*FF?h9}d(HBXj4KVt!0JQHOTOD9wA$Xy$P_@NTzj zvYRj2bT<8Z_VE)ss1EwVOPnq@YZZ&q3N%z?Dh^$&<90K}IwGbET5dvK8$&k;sltcQ zjSw^`#rRMXS{BwnhT8f)3nYPh4(L(38l7Cp;9#tFbZxUBy`^V@*7VtIgc?=)hBa+w zm45%Ds3+dxilDv&I*?ojOs@qPoE|W&?w0%a3z}^1CplAZ8%~d>FPgwXs}qcrIBlj) z&zPx)J8*gd`mr%FU7&G_kV+YvqrlJvww&UVs+^@9cPby-#UG-l^79=4jHCy(ox+Xg z=Jf)wouHjAxYRF(TK;}=8BaW+E~?>b-#3{|Iubd}32}44Y%YERMa;pTzv+F97JqXr z{%m4ja4RxfcP|O#t=a1G-RgIVnqCME)0aPdCG(x)kJZ7<$<570pX$FeuL-#aUY!O- z>=9tX4rac|s)_HSmp`mN@!rTpa!J+(`wDh^UstHd&v5v0yj}}LO8%SX+3a{fjyDK_ zA9y3_?+cmL(bvu%neYL$_C_E4Rdf(AwRf?{`Ahyvxo1LN0Dx^SJf^<_KKnOApp=D= z{x+r41wg*W(JLk z4;LQoIDgO>q*sczY5&w;y=SG4bKd$edoa1tyDqczVfK>|x=}m?iz@F^O9~zs`AGRv z{*a6H{7J(iAsNcHqYG!OjmI8|7E!>z(6b(SGf!%ntE~Mm(zq$H5@5 zb!tKy%K}7qbMvpf?{I8k>jo#)<))^mfktZftZ-b@a&xm+FL2QEsO_awc|*PxR;#6x zM;ldg1LL*mh0oqx2%X^~uz4HR;3QeOoPBn8gd$)M`Z@*;5SsV;=sM0^2<;2*Q?vRj z+(CGoE19~7eJ{=*G+f8gL8ECUvuRyqQ8f>q40k9^D;F}=opX_^nSv+g7VoZUSNPG$ zgA4K$H~+$UkUP`L1^G*b#Kxi89?+d;1Z>Q{+lbdGX&9?p0uOM`nDMdVxu#w=mcuX`IA)80 zIiO?P_$iQkHEJ)bgW)(00Sx?>^GQN@pnLAh}!JKCbcjw`R! z39ZAw9pKC_^&{$G9jxp0ug%#UOg0Wr50)QrE_%xkn};BoXIXlxrye&8LCBmT+CdtM5>_)DC(dF~0=*bb6epRVLi_{4PCiuH*L! z4qX>AditsoLFF>PENB~wTSE{yc}Q#VwMZNFOpC8#KPY#*Z_3ANIL;rVaJnu9f(_t{ zMOIe=A&`#eNCO0`u>Pn*K>G?DzXYoEDF9e~Zet*(HUUcRnyEJG0Be9SD|wQEBBc&U z@@S3OP_}BXk{4465EoIIdjvegK0UB)=;M!~ND=f!q!GKVyDtjUdi!eaxgT2cN zU!921kpaFGsJ5W#PV=R}NsrZ?0HA-yd#pgdj=fn9cc?dm;l%#hC1q~w+)=n1DCC9D zL|MZJ_;LBPT%T>>nDs*OV(#i*jjP!MBsQ?PrfT{Q8pMAMc_mFway-KG&_??9uPe+mXe5#(25gzLVmz!oh-EC;@aD)Mqr+8S)pq>=zfV{n-y`;;q! zCPZ_Uryk$GWsJEOZ!FJ4cCJV(@q%P z542E)u_GuR!Fe2jR5Jp5F3@-gD=?5WiY$y~kAV`qMqnI*AtQ;?BM1Z!5#$C@C$I(~ z92aQ}1D%eag;9oPE09Xzx0Lq2l%kz*rC@tdfr*%>7 z5V!vQf5(4KewluH=d-RKMkdn#CH{5U?iZyEmk;iI*8JOTOZw%(A1w8#2fgLD>T};! z2dgemFTR&s8y~EUPk*}RPbmB;Z1-;}YgbSG`la<#pSu1w^2@`!r&?k8&f(|y<@~J| zlhr1CV=TNVRhzf!y-%318v37KtP);q^7vX6UJS^hpKHsr8ITaTwrhugE!yh(39Q#{ z(u#qmT38yH-Js=!;l)U!ORS8=Ut3eVTQ%aggn3qFS@^G#6_cdj!8f9<3a2@xB>*y7$5h`u2Hxc9#C$ctO9=CB63Db>cW2 zAM5`xLnq=J|3v9%a_rL?Ymetnh9ITK1M-C#WBUung&5twb!-|s3ow0G3NR{srQjZP4uu=gOaaFfxph&hsnt(eZmBG|`hJ=8u(rV00XJ~>xfYt-`PeP6n?6p2-Yxot7$wiL3 zI$9JVO~ZYA3~OiRUxX>w5Kt{Z$+1tov%jv(d4liD;TxRknw}Dl4y#`Ey5`w0bqWXe z49njW!>sq}Ups@FVJN`NK>`KhS@X210_}TIxGR_a^%oa`&qEzS=kd?;W&ScMe7)b2p;b5J~O3eg>t&LexL7Ha zH6M6crEi!Uf)S&CnnJKb)8e(y+VoK`b4c)l&bmBY3(x{C>(IiYcBh+w8G1tUM|5C_ zDJ2mwb9+v(`HdMKe$z-)Xt;P)`T_`3b#5+01P^Q9p7q?Jcss-z3N8`4Vcc1YrS7>7 z7(#4O%cH#;!=lr)RX#IjnTQ)=NV5t{pz59B23) zozpv}&A*w!32isqu4Cc{fpGQT+(l(!K<2`heyhRD9j%?>-CHD4aa+yj1*wz!LckH( zKdzSt#)O_b56NP%o^xK%Hk!0stRH7;B<~n*ParizfvAbWOHD$S#E@JgX%7kQ*xx-O zLYKLF|7l1Qwf-wu3I7#Q zkIMY3$_K`C>xmQ}#r;DtSmO{=INX^NnqkyffASMih{4rfKKnD#_ zLy^e>ZbWs!R^YTk7+;j|x|@|xvV;hR|3PrC`%GbJ3c(n(zK8Kz@vd1D_=^1so-D%_ z$5Jnx;>u7)=H)Q95oV9fZV}va_tv#))_|SI#WJBW><*gNMnO&0Y6c*CG3t*Bji#y& zXavZlCAuWCKa+cPON~T(mKDj)ZKwC6JY@{i4*YNVoC(R<7L!rachEzUg14wf<-BPj zz)56gUr|!5yu~>N@J*Zg8Vr9}jmg_*00m^2QH~kmMTOeqQ(TRFNa}%~4d9^;31&0h z!_?2;tr_k_ZE8;9Z7?e}t<3pph$(OYmeWr7-k8!4iNb6d7_t~C)^DlMU8;#>iyFW2 zJYi~t8%PF!nscJ#IOmMP`cUAgBY?l&(tIo+c6KRtnvOs>E6`><&S8${3x9Y_* zq77P)2(`FK={6HCRQ)_6GEogybnhB6qvOJ{gI>{0@!mM}< zww|K6RNbmE=YD*AmFNcAFI!~s%hX!ICo5*{XUt(;t1+}bo5q;f3?=2E5%+%rS7D`R z0;u)UY1QVQHR!BeG~psm2?WSki!{(&^MaBBM_!4zKGJ7MyFv;0FT&OXt$pB(3FqJ# zr;);cAj(Mj@Vfu&PR>s1z*L^;vKQ)rKGDXF!F-*jShWCqGq8yP-nOJb~Fj19nRH~jY)LjorO2UPZ}{2izkIF(EQU|q#-wS!|UrC;5*fx(60zv;Ca zjDOWZ(J+AaKdSpXQ zD!}OiT(}GPP*%CnPi~jc{M^RI8_(71`CH!{z|e zaHEJ-fOUbyO)g?nwxwpbW>az66es1j8Y6U>X7w7WCG750`&8=(+*Os-8-SD4Xy*Gf zrr!z}c?xLiVPJ@x#`qW7-R5tkyTUfJNi!jzNnzyY@7g%r3WhP9v7VqRw08TGsJ;=E ze2J#X!$P(CUyrP;&u+?f4Dy~VKWbJ-SBk}IwRR7L-c7w`HvFMtHdArHu~42JDAl^9 z15@Mtn#0Z|ihDiN_`^8gY&ebMW=`K=&hTU1Bi&7WZ$eGa_VleFeNa$~eq~G^HHV8E zg`eoV->thGxK3uB%`d(5hZ%LIH*~dfq2n_5>)v@sMr+ZYh5$~W&%OK6Yft3W2Uu>+G5fp$t^8){d7!WLW>`qpw;>unbRzruSTY7d!Ae!)_$Wd%6!u;4V9m$uNp4- z(zsr)oi!&^bKDp(tCo+~*B=x<<$o(Lm|tU~nx7NR-%Z!+#aaCkp(?BCX63B;aJtl} z7G8pQjcGltuMF?sGal+cYb+P#LDm_F2aaU5p+l{;w$`}zUGY1)z)`(aYxR8Q{feB$ z3#9Vay>xxwBl41gs`Bg0i~n>PZydR(*K=NBpO)($sU{=(hTN|;*Zs5UO?(8?I{fMw3>!jPt(|4%{;d3rU z{ahBirqxrGmqmfBKpT|d91HR->})`1%t{hD{bi!fCgm{4EhB0 z3bb8PErKR3U`e-dGz(sMB$Xh8kF_j`oM`myFZ(NDPTR*XN#xsNKU$H(-cu%!flS);(bUyeG){w2j6SMZS;2xuTbr0q{y@oz4|AK1k!SwQ6^NoI{7rzR&RQ z^{)?^gQ0i1uM-^}NGajS6Jtwhy?Q%PG#k$Lfnj0GPs2SUxD=>+D`^m-_fNu756<;m ztNbRNdG^t0&pLD5d{)^{f2G|zW<1>4y6Nll`YH8FV4`v?TfJ?OQ~v?9_SM^-Ll5=? z?7CPhtQ-F(?cCsLeZKb_6HaKY`UjWZ0%>;eLY97CX$29d>&p2N@F@ft|6k8Nz3Dr( zd-=;9mmJDsJig}=!I#Wyz ze_ZMVY6~d9L zwJYRi{e8*ZH@Wf`;+^G}MlPNBtL^jAX#qK}p=tTm{ASnVOTonY$||E(TT(kVlw|y8 zP4mJYg?C+rPQB@8#^d`Oi`wj<6jw$sfA5F~)oN*ZNB&h~#pLR^)siZ#_6(oqTswlso#I324r@r2(_l2rnn$&jHD| zC|bORuMS<;y26)$K57KxCtr(#E#iJ{``q_}}|&SZb{FXG~vkry*P@v^cmdBx>Q1PY8z()w7}?#pl5zWrF)etkdJ)phGg71zx23+Mh5+?{9n4 ztBaRRW6ZNr9ZYWKss5M0zU)#aO=OnL!LD=@-Q zvl65%%U~A}j7bHL+&CrrVWT8NnAB`9qzZFqw9d|*@buX#Bgidl=3%3S2H);JpfCHR z;3YAx1moN~cp~sM-;k@-IJcFzagZU@dAVU9cf$O{AYeJrPsw4^q>Zpw_HeWgB5r>2 z{NbxG?Kvkg+g}iwo^c^%j0;~#dDyWnP5EM`$Gl&Aft7g^RxX1PGS|!Y+|09JZEptV zL~Dx_shWmg8Gz((nTFrxDL8yIMIGt}lv=3#8;*VvBqd6lIz;>OAI83jynUaA zhHnCaHCnxMj!sCHp8422$#?<>(*qGHo*;j;&ky9^iuUY(*Z-HqzrAPnB6KUhhx}{PjtiFL_vU{a%_>&fqI(UbrUR!j30~m-{X> zJl4<>T4yXPy$NHTxdIhG{gZCC6QJ|b;5G;7G7LZ86yqX(cC`QTTF2nYJ(L7*5%m)T z0A$%1Fv^ybPrZ@PiO+O+tgV?%y%5q;|51D1lX z2X>5rFTqzr1Uy+znqQE_zF`qw4ee2W3wJ3BxjLXMO0T7idLohcU;p?bFD63oWifwn-j6~pTiU@EKq@@XiNMB!gUZqmJ%r!Zwo_`I`ZI_2 z(nq(KK%xC;zh@4{nR8z)T_JA)$Ml&$T_IKJSNN9KfkG=T^vyM_tZb{&2m5Y%4-WQh z6uMRmHQU62p(p;Ree&V<`E1X&?14*f{v*an>t3QZ z2mWHg>>J*1eCLnQUIl^32P|LO@;4VOnM0;26O}W7Q1l-36=V|?(U-(H9-8RW+m+^r zEPeE()PCB)P$cO6k+@>l#u*rLHrmCTGj6Np zVmR19Wwm1*)rObawb~_R&A<*>G288l>=K?=9$XrUK4-+#116sRS5zr?@iUrI5-k~* z&3=XJkc9y`rzz1R5}FaOmiMcJ&c-FR^(cmRV(3yb)}UeBtBUlig#rDD+cO7vyj(Jx zY1U3#+(v72tFqW^a%Hw*8?kzfDbi9CX3~&b++Cy9<`kcdO{+sOJfAGYzSQzZN2(3I zBoSBjT&yIz{eYUuV!|;D)_YuW6O$N%5}merr&anA-xYNodt?S_m|Wc5rEr?7Y3B7# zNoBNT>cCLBw$C;v_2j>pn(HL4wYd&eSh9>NDIxAR^c8tdloTa#JsymY4I55$A{|Rc z`ZeQ-oxJ$jzfU3`V-vS!5f8f%w%3vU^iowDiy)wp1(YaO#qcdbF8BQo}YfR}C>vtmtUInJ$C z|JIzr3w?T{*64s_z2s#1F|~J8l zL22%GJJkrDXReNF!$^=MPV1UGh&v}7jljR)H1l-6xlzfT#9XXbsZukhYaH8WIg^rX za9vaCAtM0;ZA9fAPd)#H&}?p$rbP$OQ1mH-&*2=csj5*IHDCH#v;z@fm0PaFF}hq( zZW86U9DdG8#^oF`NTwr94dfcEsP@Qru3oFu#?;)_5xgDHZnK}BFL#CG+M;;Ccu+2X z*|Y}JIl(!vL`ybYR?H)5eQ;BKpDnqjY^trNR|s!qDME9ilN0cWl<(hgs6_OhGnw--@Tf1x}u)Va>2n&Y}CRC#Z3IZK|#W}Mrkv83lg!+ zGX_TGm&YE(C`KciQ=$`?CBQUYToSXnF$vH1+qUXtMB}n5md7xi z1(=YyaPq%$D9J!-*D0xTI$}ADLR6*5xlB~qB{xJW;?@x-F=JR0j^OT+Ev`g}8#T2h z$R?J=1q%~qCe=RIcDb=Qx|y_`gt=~}+~j^W#vM_gkdn*B=0wNvakp7*MQS%`#NfGo zZS!AqmSHRyCD(Fo3CG1W70BvkV&5>WxTHu{$+Cow)`iN~Fh!e_aBPf&i%G^2u|^x# zClhYM0sN*dZrTMp<3mesVl=uzX`d6O>kLC)7)!ReT5?8CK5s7o(Q?OP!^ZDm{tZDh zIOLuG{ENUF_+c^bxs1lN!)2cgXp)QD89GWy9~*69$zUp~WR!DS$p@un;kIwm zAv^|%ZxmwU@{9|fgV7Pr&P>_Z5AYzZiqaEWE<*c}ST_IafuA* z&!x3^CT<&QGLoFd@Odc9fgGCSKvZ;81dS1Cf+F(RB@C0qAnyY%CXGamrg__dU$9$7 z1C*gSS1VXO7>aIp(P4nMGU9HN_1bx-8UxHZoKP}@7ie%u(c1tt_mT+BE$LFyvLd_O z3x9kOwFdQwDh|;dKyWeX1Ljq=W-KXZ8c|H=l`;EN1qadME+R=zl8~~Es;GivqbaTPDbikig1{%BL<2%TtmyBXEY2x<~Y1$60IS=RqVqVoNeTy;zkFKQM|K@9nmoX z@IL8kIs}G*9ru~_?bUE9KoqWIZ*>G?fCqI{B0*W-dZSuErJW03n1OWSslIpvYRWm( z1_~;iLkO&?q7mDD$u^LtF3}TsYt}9~9mz#(8bdX^}4-iOrcy}GT zkfhB|VwgO4Ur#@d5AVnMG&35y+qSA{U{rXL3d#x^9qlzZOHCf-LG8K3bB5j!=N?VO zFSIYgH?9P=Ucv~cO0>?hGO^rhwZwBdJdubJ1ypF-fCi^y*tsf6JajXBJO>A1zva&T zokBDhElH@6oV#2G9MMr!JarYWtWhM6Q!VRru%QHhAOj`gS`LWI#al6K-Hi{o(45*O zS;aP>Yr#SE1}g4GhZ>%=X512SPMFXo88iRT(PX7}Wx`T7I;~a&RvD!6%Kgh9UkmTg zUtpuS9Bzth@O+1h{uwl>q-S=ci(N+&KqZWg8*fD?WsYW+!=0hPF@A+E$tXZUGO}># z7uR9_z6_o*(Ae>45`|ogHyJO*Dw-3pnn}FVIm4wgpuMG%0c1P;g**EZa2~ zY}<7+N&@N)&ko3^g!?4L9d2)jMjAt%cNs}_&~$g2QOrmqM{}}-xmb`>LHkT~MjD#8 z<&DbxQDw8!3Mn2IQF~Q*tNZ4ACFh`!9#_90I969rN7sb3dqp^InUj-K+O}sg1J_Q; zm~F!-Vxj$ygh3~Dc62}~xa5%X1+(khR!`do3GcTa_b*zfD|hb~Y^mR=vD!E5UpLzY z{c*Kl7}-~=VNhJbH5!78{@M6;WV^W2N~Humn|O9T>X`Bii9|dZPe+obGe1fvb1u}4^o7ci*2F50`&ju(m7|)M{(wiX|^?Iz?%e` zy(NFxJL$^rg>OFgyZ&idvtD`{hEQb5IgY{>6i$*7E=g~{qQpM_KR*=i=6H;B1@JX| z63)H_*%|Y6 z2+HseU&$!CMu~mn)vJQLpe-8R22+>tn2I#mA8eRx>5O@6%wrx9C%>m)weZH?;5t@s z6e#lOR4-C4$K~b>qO^(nhlK{$U-<1m2HUK5nFvu_EYm)KeWp$%#yeJu0b%mTalf=o zgj$M1@G@au!?0BUK(I!|7@@F&EU@+k>7(~j7G5M>33ZUpiB zXc)&6EJXTZEchT!q8U`GZU*oRtFW;A*zbqGMAQ;wXSELZhFjByNfpdNcEX+5%D{nw z`*)$KDcnu+M||WUhTWjT`<8s`Q7yI_ zaTy0cbr?pfV{jpDAS}W6F8Q9@7Q_9bNc;rRBSrNpOb0D!I|@YN(ZA4FKn>)Ea*A|e z<{AGG^cqSOQ6|8WDJJVa^Vz494u|#g*=}qO|Du{XtO9E(Ut0SD>#yK(!`gd18?X3NFRQF4?D6qrUL; z9U-tY;2@X@tIf=9;Wgei>d)lc>@27?9m?Rj3#>37(CYCUymRTB2+zb5l*cDLP_UJY zQSEXH2vV>Ym84jW!*oAO-wiwg0&fH)W_G0**nE)$S$#&5D3FO^OTYl^q-o&`gmOjM zuM4aDLlpvSGqdXvyX-#%4yZzG!UwI<^EZP-lHWOJ)Z=zL{Bv*`fK$ErL}}164z1$R zI2b0{@=FNUOj|MXdy1^U275c&o4vKJ)7FJUp&o+;f@GEfC*Usl5i&e1p6begi0@FV z;P@_?PO6UPZu)6(b_pM0YKn}4_yIbEWC~;_B_7a&3Q#14eRE&AC$M2~>`*ZtE*=jK zha3oQ=nE*A3i7grMu&Mz0hLKOYZUJUtg9D){n+pMrx9kLQ}B=pkXTHe`|gs?nR&-a z=Ypz-z#&ausLe@Gq0T?qAmv9%|8$CLIsl^-v!-POxd8MB>93lP%UT&T@ERYdLnyatT8ALR1rN_rGyl4kxHW| zC8nb&vZVp}sQ@-97ZX|M`OwglaR8J-1F)C;_xCPdL*X)bOMzRG*IY|_BmQbh<2$rn z9X)(0BoqY&c={jeuedw!0Rx)Kx6i0IoN&Jufozg6qI0~!XtH0`i)F1LL`i``JVA8E z(jpS-d)u%gpg5HdEfm}>01&hQUXFr4pSPrkNcH?7013c*r z4Jg|}PU7!(`)8u!G-(8U4Mvf0cYe!5j)J@7*;dF&Um0OY3+htf4-kS*=!Sh`Lr@^v zLRtW~fnN6wp0Xgl z-boq@{(6BEgEHZZ(X5N4<|U=;_S=I>kOD4afB_hbX?V}3LQFtQ6pICp9w{xhZ~Z&Jj? z!@r38g^`v=gD|9=8pwpgKNPIV|rzcJqz?8NfS84M&DF zCZ>G}_WK(|aUjXes|ngH)R%dN6jlB!iXyK5Tc}mY!Y~&Nl0dC07D{+*)qQPG#-PA5 z`iNpc0yFa<@V1(i*iUZ$8^7M9jUvDjX}}M#<>aD~)g;0pv?^dPCSUE5Q(!stKmLK4 z--P0qVMdtrHA!_*CL^{Ymj|l??+P`;t8{dP-)yDd5@y*5@T7deisHEU+*2YvtUJ_1 zK`O};-buVI5o{;h6}|AKuw;TaNih>HqIy7|V1p6Wq+BK_0g56VhwBzlqkzUl=YZ{&Of|~&;Mnp zTM0%ibOwIG=Ae{dgH%4+L;4j9^h5!Z8QGx!nGv8L`R#=--VkUcrncehk_v|_SJWW{ zIzc)_FQ8Z;cc=lGWU|f7L{~>YpSUil7KPHF6B7rJD%fAJVJ6XRAc!f8P=W|S5@1Q8 zAxf`aoOmpVWsydJ%_33&lf_Y#mu12a<1`q}(C^;7vws#HBoR&xDDV^6eLT-%1?uVekN%T?9?~XWPMTasB4u!(V1q=2vT9%t zV@<&!@Pn)ZWl*Z4zuv3`hal9%Yk&zsOp)5N$c_<z7i)M}r-akU|ZjpjPSyb7hJJe?(HHf}fgkm1O zz1^AGFvKKvgvb&)n)KfL7D5VB6m%t^M4$~Q!*o)R`N@Zuev}D=@Y~r?f|=D}nNNrs ziCmF|!~s%4vr89n9zFbg{9V@k)#fo3(7cBJQg5LfIe?W z0h2@B4CO-ZC$tL!0_H?Kaw?3;KnjX|k+c1P>Ox~NX(FwU*9wwO_UlVLFCaNifZmrW zD2Uy9``7)mpd8`WLQu(xC~8-buF3(B1Wt_N4$JqX!1LbVFyy7y_ukO|7XA6B!j@1z z8;&VUwH1TEi9{;0lw@*|rzyA%DK+M;;rq%a)f>vKiBKOvR4J;1St)MM$;(1k%W)vG zuwRA#n}TrOlUug_{Ct5^h@3Xcg9QPLi@75?1@cNtlPqqM!qb4X z8yq7uOFjZaWKp_P(t#QbWeGjw0Fi#lg_QT4H&Xlv3eL(13x4#)Ko_IdxC!YUxNpTO zkLZHjWl{`)rykxSACh^-mBiE&V79_0?XO<^_D=()N&X>-+y@3-KQO@kbm{Y(!^pdYJT}k|Qb*(~qM?~MkiY>-WGV~rG_;3w;5*q-eD}oEO9(jx!1uF_t$#;Vf7puDY!@;F2Z-d|htS+84AdnJ{fG4;) zAWU#+p7x+IWOzU^Sq$d6%n%ZEy43Us=aLzNEkGWHY*`WIOm_f((Evo)6oL_j{L6je z^^jDfy!ZFQ$~S|6gM~M6z&^keg}3Gz9J&#N?+RIlDsAY6*G641wDEa6D3;Y6C}jZh z>s7EO!BU97s9P?EUm=}5SAW)EuG=oTGxn2~mf%X#p>Sh8>=1h1x1g5@n1mBTcK~UE z6G*)=xh46fRHgqzxls16!CBM^05d@9vzsqw7D0LVu&6c>2LB=11N+NlcGc4YfIBSzPo!#pFc*xPhm#nOIYhU%gL{ z{>eRlLHXuTUp|2<*d1)(J}^Fv!@egS9E0QvS%t;|lSyvZ^~IIe4+TeoQ1BQ6L1A7o zZ3J2YHx$Hh`Egw#OPIS~3kQO^&=cfv{Nv+cA_A2~vWbFI^1Xsv1{*MBo>rx*gWn;B zd`YfRbcy0H5@iT8snXB41~*`SKWs9pk2}y36di0(q=K*o%81;Qfc^{tUJ!=&0~x>* z2^k|l``w~nc=C~;5HtZyM&}-MH>Gmkw=hs4c;TQv!0O<5f#fz(EApTMzZt4@aOBnx ze=9g04vYxo!uV54su;<@0_vPWKN~?fjOIzDF3Y_#9+S&&N&1D~tPM)0ntKrdS?6LU zQ}zQif+YaC$nFQ%l0YxR9h#*9>!@)PI?RxYxa-FO5MVG#)W8VFjqpl;i)sxwblLzP z3Uq2-q|c(_)e{g$5^4IwbeGa2e-(n1b?sAtL6KzfY#l*~oFZD0Hicw|HzzhQn&Upp zaz5L^IKYS|eW$t4KiPAv(HP=5WIWg(Zg?IHLJvp;EM|b(Bm_ZEwdhjH>rl=2t%?(R zN?a~0Xbl+!$Q-CfzD-!LzXe%?Q%&WQn!S<};83R+-6g}pG^Mdy{}2BfuWuIEAxVbK zFH$UNX^0%ts-O{A9Et;c>uF;F-a|&P5iTgwBa@*Q0i{i$05kW+C<3P!*MJltKR`tO zX@1EQo*2@(ABhyQ&y@J8j&`+#<4P%jq%Ky1Kemfl1sVf}8l%eij=?Yow;&3UCyn@{ z-D52|Lwa&aM?Am$WxpaE#Fjifa`146VpK;-aC+$;I@ASJQqT(IODXz|q3(Wza8~uK zv2f{zAbm-4OVL3F_6-aOZX9gD1A@I_E)fg-NLvO6mD(75iWHv8z?R-1q6hw||2;U$ zTr5x?ni@qHaVHQGqxLLy0`X;`L2z+37}^MZ6XUQ&BT}&WrOgw;aS`?yx`stHl>2$# zLjEXeQ3nfAhs<;SB-|C$Z-IRTy_exrZQQ!CM#_8py?v^uAk=tK~(* zC?DpzAeJPGL*G!v8H^a=J0~%IsliyBD-Gi&5TC^}6oH@}#Uuc@A*G4piILDop?gQd z3*5jE=s+k2EoF}DF$89xEeLR!%Lq0ArxeD%zZD<{6|A$nkU=g05eET~W1xM#p(M}B zAS5ipBwn6=(;Y!U&^HvqdeK3#@*!VLyRqPmC_~baUrfMe7OsQT-Xqg?bcbGxm*hp) zKN1!0KG75W>Da>m%L1(($DWXPq#7}|;##R{QL3<`Yo!W-k6bU~2`Mte`2{(PSw$Vh z^P6nJ<0o};lo&+~X3T@LM#S~218dl*qoEjs0L$?j8a&XL?Oetj@Qb%R|DS}O)Q$M) z_|%K3mwS%&RQJjY*?Of>{;*QJ%r8nR>Ji-_iL~Ocm$@W7dT${RPAh7s?JZGNo|e@* zI|^=+0JB;U7a(h4ZQfECamctUIm&n}Wt)_VY^S~Y#aogyT9b51z{}j&*ID_J&_mn! zdR_iBb3L+hP5M(>TFwe`sVvLQc-^)tUN2Ym@I-@P(M`2(R(|hCo|WZflo=*Cw1huc zC+Nw*LZNLid5`MLa5CZUkf~K0QNQ73*}mnk6Nw#y|JQ!Lx?kBL+$-F9URl!3CVBS3 zPxUtmt10PvdA+bINgE{G9bf0^$MtgAF-eGoq$BN?JgV0zXoI)daujbm0T*MCZ~6z} z;FJZh0;HjsYN=P8lnC>a+TrO9q?^*0vU*BBK6R~7c|!32CVOg62v7Iach~QhUIVjN z^=!rR4Fs$SAWT&&Y<(QWE5#!}q)&onPU*A(u>6t(5oJ`(s}Jcj9Tg$U|gUN_xM1zIlK421y1(oAIcYWXr-S z36psEFk|aEeUFk3Xa&sA$}$aMK?)Lef=*<$3SpL+&`FJeezcV$Qm6O*_;*7JP4?7l z9)+}&Lk=OTTf3@d0r#p2smiu71uPHNrT0q5Bs>@B1tnm@6`z65;o)JNizUhshlc`_ zs1blg#3E||4c9~DLz2F}3>Ju2C<9F7B30 zoxjriZ%e6Fs7h6|4ig9Hd!=y+90?W}jloWklHtdW)s|DBu%Qd$*{o6C7Y$PbHZ^+=*LsQg=Fb;W)+AQ z5eBoc!ldAz!a9#VWKoJw7hAHV6Kp)>OKnnC@!hrNAOjA;W(TCJ8Xx#F zJ)}zO^}7Apz5V?{TFQbzDjvdJFX-A{DN;b27=>iBK5fX()d9%}GI3*v>2mOiwt_18 z(zQcRF^`O59WOhWdQfhX_sh-lkJjha5mQA3pxVivdxgG6_~!M>4!L2qHG3N=BdBY> zE?NqQ0M_I@UbhsGDn>1LoTCGI8B0Rsfg)HQ3QXZV17Q?uP@tM$APo7D1Z4QwHZ&iu z|5|gK2O<#1lP(C_%!=h-HvgQ~=GM+XL(2BOnY5ym=>1C*hiQ58_9 zfNLz%x-3J!5NngwJgU^bB7bKK9`*!oeA@-W#*1!+GUb`u)tAM2&z6v91R%NMNps94KiTRRhT$hOj}>m%*F{EPGzoYLwa)WrbwG+W|*uBHQqa{OYY=^yrm$kx1xzQkO7~v(9bM z>jvaCQ3bL3W1r>KGy5-0}#1sL-5 zG^M7F>xlg+Y-rz?-Is07rsdV+iR4UMeOrAm`#0)$)B0ZhUj1%VyWxbs2>kCzF)+PG z79TLFz-qm)2t~OT{~@`UJ?}gUjZLa43T+B;BVU9NMd$_}Dfveoq5(4jB_wX3mf-P1 zeUnerq#T8VJ@(=CiN1#K>Fe}{ws4_{jsWm_;l= z35z_XyjXC~$nKeI&jNr<)aq;*t_aMmgF3Lt2lN8r3hD!iL-*Z2d?DMQ)W|h5Kqbq+ z`At%~v8P@{9Drb;tX^zC-ZQQzfWAw5w&59hEwmAwBh-YK;XaaYgh)?{=H-oU1}_r+ z<1oA$5`C2;i35R1b+cR$07ZRSI4fjcVD(uZh?F0>03oD)MV?useCw@$l_%CZSlEUo zy{}QY`)Q$k5f?moKD{FB&u&V|rMBHH-3K%GC`_&DYj9HEaPsB4IUQsI(^QBbZK&u? zA_+uF00bf@GSrlw2KPFvP<>P>pA_Dsz)H&h@Cv9!WXk`g1|-5$lVU)f9UZ8hkZR#< z_wevYq-fPXshh8wMPvX^(xVqE5e-G8qOx#~bT^3}xQALXRS6$kPtrL-mW71G9}xyM z&b9}!)atiafjleH_duE+(C6yZ%fbs{O*NjAXpz&$v-`7;$^8P5B=ah&AxIOFT9OCS zv;hqTM_R%C;Ti$hDi}u$tc&6Z%LC+dc?CL(W8D=CdMbv_BY5u{^lWNh@3jIDHIi(DTGcU2`L*m)rfA>A0q7tEeUYL|0k+J!T@Kg7byduiIn!iXfJ%H z{9zC&<-v3cpVePY<}AEyhaBq`y=VABn4ly9H%ioj-Q)>`^`Trl@WdQ}>Zl6!Eic^ki{F`s zv{RM@X7-p4<0qY~zSM*C1p1_Qz%6OKKQ#y4xXjOjO?7bCh+XM(0O{#NGR{7EI3{dtumwq*+CcdmdsQCGS>NjctCsYJb3n}t zMm=Bq0^d$b5orNg-_UwDU4$iUW7u1k z&nw^3mP_GELZBdnWeEHskBnNN7gY?50Y&U#2zC@iB9(-i!L|TC@N1zOnJa))j$gF` z*&~k|@)ru@j%@t^1>NgkjR}=2lJ~cyw=W`5Qa-Eg5a18Apzhh5g`4G4$Q=(_ZG>)! zf{XMs@1=k>+$}`%I|?{bH)+W{MLVJnMfhzmZ6A{CqaGS)K%p3N=PW-rnon> z0n?uFXW>a6})ERZs5G8 zx<=`Y)$29#^}38GU`FOkqh5xqOatrkuyP!RaokeQC%7Q^@OCNIrU7W+2kHWVo6rG) z24E6bO@mHIpR0}Zsa?GFj)N8~h?qLj13g(*Z%FlRpvf^EnxaWLuMEF&!*VI|5EJmz zR?LjpcT5{Maz95evJkx77QR~oihP5kj_4T?I zId%MRuL}v(@V%b)^Dw;S%{s8wq9cUKZqRCwgxH7FkuW18o6KVEwNg65ObZC)5Er13 zkc|ME2|*m`$Q-#!)P)AQ<4}>XR=(p+6=I%}H&{5YxSL=uvYo?=>oo?TO*%sVV@T#6 z6JGAYAJWXmdF8AOR~IP>1l~~AFG-Z2O!LR2XxfVUJ}#jH8Ha(vFs%#LLGH-v#84xm z4kQoZE|}~C8y+_so=abOnM1|ujZYz5IgzDs?(E0?E0+>61p_rn}|Pob^A?zsQa>jwC&3Ryr!4+ zaiM|wT2e>bYnpFvP9p~?HA{6-c#$w|JefC??k$wH$_05OC`!V&3W+0)08I^2zyqeU ze1;LbX@pJ+!>iG9N_A80bRH6Q9*)}Qnmh8j07`SSB=>*>`dlqZOn;(l07SmY*{Qs9f3^7I2dRnhN0c~&&WI~sn{3%Q>zM=p2X7ZU>PGCJbPn2Ckya{Kj zFsZ{b00F6}E=dFlKss3wd>C1XlfeQZBP}kFLk=;5z)i?wxBqbfDKCkO@9MXunX*Qx z482}YK^Q37WtmDeLOUkGz?A`2rGa(=YAGWMSH(|t+Z3-ragy1Eurb31>l?bew4aS; zKyup=y#cE!&=x86)nDz)6A4`b$TCr)vIS|lhNm&b*0MbOhV;fJ|G9K~+p`~=H>5GkiiG#)z|+yq*`8KfpYpe1bY(o8xA(L zywOZqOD}c#mS8VRukl&IV-c~*TVRrX%7JbWKGIllf+2sN%ZczeT!1Jz2WY()^fqP( z(O>h5{3Xd{;^y@UfJ)SLg07`zXuI|edipVBcHvRNU6SE9Q3@Oyy&yN|Rb^TSofwrs zBM$rnnSdoy-h}=_#R(-&4imubNgK2{#O*6J9}4`6@*W95HmD4T+9NU#BvnSN-{@+PcAs>l!I&VUYZbLJVEme+v2&OK9Q#h zQ3bf^r#^vmin3RqAla$cZ!RyT?i!MFS;Zz?fif#hSTdp{Db0~53iFq2NIVOu2tW+7 z1ZsG?`3e=lhd@m+ZvlLo(ztPZ>Lz&%u2a}aNl9EWl2E+mMBt8aGjXcfl%-}^Qs%*4lzVh=1Uz@++pAg?M*@l|LL|n ztOC+e;*xq(U;*#$l^X`q_3bs~5AI9B7Nd^|v`bsyDY%Fi@;c!H-vd@Jq#Xp0>PRi1 z{3Tgqq;-HSpOaqj%j_`)z$_HTuXHL+;qTqQ3FHm9B6^{p02+%1W1!Bf=-4G>LCREB zOdpeUQkm~T76=9n-kB#DDR1({Nez%afw5zH%C8UemjH8gQ!-$YDBv(>aQOE}6Y|lZh{7K4q%23FhnP_V+)3_8K67N4efqG2OeqFByhiaHD%RoDiEIHnzBj*2 z8Ot8;!?KpfVvmwSI%xwm>6&InCxQ`Va2c=G0L8qx+=EgKAen97nskfnR^_bX?*o1|aTz=~hea0`6FEyu>|@(F>)0Lpbo z)9cHBCBZp34&_isp{9LY!U}olGQ@o>9AQd;YIJ zpQqMkt?k9Mj(n zPwXKUU`xOluT53K32ZPcja;TtYQ@Hn(F_`F{5Hiw1!kROB@b1KVCf_1_LkP5WQ@9E zLs#h`Y8r+0zJ&w?{Dnx;7E3z&=Rm)B)80@5lTCh8_*1&Dg9p+ zm|skmrSXK<|Mqk^Lq)_M}<^+pUg?GtgTb>OrL*>wTueL>?#MFe>Y_uLEhYG`& ze>u^c**_ffy*&0D1O))&8be~3mvh7H>XTL7OT3?Z$Nq*6%+g4Ut~ z4jtf8f(^EQn+f(+Q0BWz8NS~ zXi^%tNrQheq_XJk@|=x9SL4KE<%z+GoRTKI?W zhV!BUHS|Cl4O@l^3NP`u$Rr>!!N|f~$nXHV*Ko{_a{V88kZAp%hJ(jRP2$lK;HiW? zlH*?cKw}@dKe&#Wd$L(<&^{{u{`Pysri5FtrG$3~q&Kt$g~W&S ze&fsGBbqQe^zais%2MnZZVx@w3?{U+f`$rOgTn>N$lJ^V%ai0-*X`FAGz~n(lts*X z7FA1(R4mm(mZ2am#vW-`B+o3+h>&Le!dUp+7%2w05cJgl2l;u(#X|&Wm?^@wph^re zPzd?;;#ckn$PKp?GZo;mArj%@dVxbS0Vo6&Naf@g1{S1-SvL%uNaK9ykwDwfQ<4A- z7+c)7c;Z8Y96aI!L9F6l@$aL!M`tj`6KQ&m=+`%#_bY>$Ug@FF2+c88^s$({;KKLK zn|sQJhm9!!6S&K4KmaDXGemd%q2OlVF1d`POQD>K2!*HcpiR*YNJ{~S^F|;)AcDq2 zz#d?=@PnTQh7HyXPgKB@gM5&;6x_IA13l#A$75B%XW|JsLS}%nJs1AwE8!JzccG`f z*?o#=Hk?Qr&C?L!RRkFF9-wK+2uNlsd=#7{m#6^#7I#bXDiRn6mucaWK70yQrI)%Z zd@xgy{%w6w2PjG~6^zB>pXAmB2f_^>OBnve5CXzL1ia-6B>5L>ydkj25N3G1Q^<(1-#O_(ktqe6>W>_gPH=iGSjIfCatb zqsvDYDXGuh@K^o?H2peF6TqHjBEPBFLP`)!fq(Hn)=<>X@=4&*$3GDbu11OM2~#Kw zHWgfOAlyKqEb2hb3X-utf;fkC047#rOeVgB~^|d=*)e zu{`bG%Ma*V&q6TEu<-TPu>Q|Hgoe}&^)+Sp8HH2aI}g5+$SwUB*&8}Vr^Ks zZx-@&#W8OyPj>x6*U{j1WFqll5F)=WI1p_35)5Ma3Zqb81Ol8#jSr~2s~5ZHmT*c( zFVbXl{?TK~U~F)gV1v2Bz>Vh;lWOu0F{{8V1K9>PVhlPmR^Q~G0B~ZVQXv;47{P(U z4a&nK7$C|8MSI*RfoBJXlq~Kz5Io_|UbzC8zz_X^@(|sl9Hi1h=6WbmAhYC42&?~B z$X@76ngPSZbun0bVnd8&`3`C!x~22Wdx>46uv8ING=Kcz)-dQp>j6xbn4^KiegVPS zw*t^RK864wpwwI5BdLTOhMqj6XuiXnf(LJ@F)a6osG#V)GoIg)Bb2faj6rAtymTB( z$q{Be+~mPjZGZUlewFan8#Y%v9%O~~3HBClkP{3pP$zk?`#rokzj{@0h_Vob7M6{G zjxMsWI-{@x>GFk^^gYnBOTM-F--9bL0}q@B0Fb#p#pv$2vSgsx67n|ZEMaPM`lqj8 z+YnYSh0{<3H+ug@9yrhm0i6rSpyUtxEGYcB@XZ6Ef_#6${vKbsQ)bmK21>y{oJW*@ zr0@p%h5!8L{%Qa5I_Y`&%qxHInG2hqFA&T39xeEtgVrDzP$U{uFFgK-Tf^-S-ts&# z&oQEuynm**AKz@pyPMYd2mXV#FKi*@z@pGK`41^~=}Q^0&+X*|F(Y2H3onT4xTfDp zO5=Usx5QHi+oQ_F8pmBSjwFVn8-;1f9(mIcv&!k@=A{znR3dX;i=m768w0j6IXidnKkxonPHy;&aFD2sWR)5r0uN9Ir){!Yy$1C<6?vwoI zZoIR^tm?&k=D2$_C32r)2uEB_z?Z!`}yEb3ptCZl)(Z8BnKqIjcX#=KV2(Fbr{CT`ft z=(IGUB`r73fk1kR>O`k!IoC4q0!A(=wJMuL&;ss&5w~(Nh5Pwk6tdNVK2soSUg}4k``3wsXok9Dz3X-%pBqR-SH61TZR#}=_%6k`W0$vS1$SPhe% z2lnfyJ8My~M>d41CL;L%=Vjs5ydu-k7bYpiuNMk->!$YuM8qP|`(A0IY4~^6be9Nc zoAut!Ljy*&yQnE^8r;#RoYBXeQSLp z)KqTK4^@qgkGqHKW)fc+n76hG_QUhmflc-&v~|wJ_NfhS>Sw35$MK@@Ba>Ur`ov4- zX6MueRkWTQ+aNkScfOD~tS`+aI_zhh4QAVXzhWH{ezf?ix&8R}m*lsU*_j=)+q660 zRKMi>==Ax)Nq1z6F>O_ji=Q+wEIextzqx$dtoT4g)8$hR>TeFtnX@JF=O(S~u56{3 z9P7}eQ#WopXh5_t+1&buA{gIZGXp z%6QvJL3D)8c%So>{EgrI4kzs@mwIbslKiM7jL#lbMka>)xXMA7<10@((N=jy?6*_t zJ>y2IWmb7|Aa&A-JR7n5%IvAll3UB`O61h5s@f52jXg2R4Y=;&up*rq;{{VWV>(Zn zX*DjlFAYw&X3VqpziRvEUoq15o$5Mcwq6^Hr>5MUDz6SYIex$3#F8@u$+Lr-w(rta zNjJOfW8Fejkhg0a+Y<+7HBGHuGTQC9Ik2nxEk`nR_n0Fq9m1!TKK<_V<{3Szwh7AV zx$3EQx7IqDh$f%ajknSfZiD%R+HTG32h7;@_G^|fwP6@9_+Hs&x$%dEDZKq-jZ87c9>R9DG&tEW% z+S9TlJ{SG6n0(r>pD@bBhkc%5tt=2V8EcKkKRnr6JJ+#Qw$>}DlRc)wpHbbM85T{J4b?KF$SuZm^wx~sin*IsiTMyqSdZ8)Wr-s9A3iGzlvjvUuc zskNdqH@RKjX14aZ9hViu{{A18T~|Kt*p2E1eQvX!I&Mhi14jM$6H8V5miDPLTE(&} zkH2fBUrEjH8gA~IAGWrs+)i8lw)l)I?0fCsYp;7$Oc>UrxYTMaNt`8JFKn<%jff(d zf;+8>71wE8Nw0XlXqOtXdtzOY)_5-R-PwdjFSp;M*@{)l&x^X8vFC<6=cRrF9`QXq zUYl)3+oQWw?V+o5tv%{G24`u*@tmqh>-vr1)6Pbx-HAGhY5PL!o5{4*Q|FkLx*!g@ zigjM=RbLWUMXC0p2#8FT2g3j1w6DW~IgCzW_6{@stsX34cmVy>hz zs_9Zvw`S;N#mS|HE?1uV8mE=U$Ld5pB9O$JQ|(U~_gPi35ewXt@1M{O2kJTJ;tl9M zO2d|qz=!)#i6y8#nkI0nq$Cr394EYH#c~HCDVyH5ypXsf^4$R~vBBZ)b`^84Dh^nQ z9V*@)ZoXy3@kVvmjPEkJwg_h!FU`pjliMf^yXGnR&a33Q1m1}r896B_@3>Kwduhy^ z8|F$L-)qK2MG$h4Bb)SOj4QYJlTz%yguP8ERb?w$cTGn;X~kX=PKmun$*1-GvU5#R zOC>gsaCW()i>I8lIVYCPXO-hyUx(@B4H^iPq$2~gB_!jj^!#<9T*K4GVpk3G>#by7*kbl)9!mQd6qbN=_t$_r!Cf5iVyNR*dT+PoXq&Q#@fbM27X4sZ{MZ z&rdk_yV@9@;-9s3J$da|?84?(xYBqs!kI=%e1o`PI3@7~gM+WZy~GQ#RJ>(2Y4ei1 z*%*#;^nP~3P2!!nilrQpL_7DUsD6m!wT(vkWWp?&NJw%f@lz+N%9&VcT!rg$$M4rh zxD<#dP9$@dTq-H}Bvz&wSJ{WkKxYlTocQF2gGqGtpWBK3bO=b-3i4+DTEK6y?_j zCtKiJ#dd!DnlV>%oyc2SYyoaUrlZ%@HJ;NpyAEDXpVOR(Ym{H5aH)Yr&b8vsM%Uu( z+^ICjbzCEr$eN7d7f$qu$>*X59`?3?#|AAXR+&qD<|<`TY}D|Qbd{41nx?DCxp>0r z`tUDsA6I0VBaw4ZMZ9CYOEPDt6gV4+X(tDVFVf;>Ty28iCE}uf8%~}Jmo5(cxd`KP zQO!1TZX_ur;*PTnX>Cf*vY?szuYqw9Rh{lXC~r^QOGSTe@vA_-UIGRoD- zh|W!mU6F*y^^`|4(b7#qg%wX}Hdu}67vS=^$Zv2NTZkH5M?8_k3%o5Z$*b_g(N>OI z+=%zeAG+!JNLu0}RkEQfk&K?0G&MBl6l$8$4Ose%(#x~k)Di7+L+B=cR8rH>l(rdjv6cCPE@cRF6?Tf~NOqpGE) z{g~o>x}~|IJu9|8rEN{M|4i17wZz(6tkI!o9~Q26rC#MAU;lRpHr-S@)m}eeJIHTK zd@`}kt(D%?HqD9U*^YWw?07RVHThBgsH&uRYy1ZXH0z8oH&vnbCytLgr!^pWOQgzD z`i%y@CDpaacNq3@Yp=0y%08=pcjO7{{s}&{II%%5y*RH4f2KXA+^zhX(Xc4Wqnp$w z z>+H;UqW@_WS8hbg`n83lb=_IG0Al4kZdNO?w#W_c1d`s@t3#{&tXU~v+Fd)ac79;3 zx>Iuc#@vr+tp$$GikI(ku(tg@~-VG`ghGI?;bKZH~G(X&H;x96f@Rqc27*?0C-4X8DP)#vz? zhKsiF2yZ-}cy6z#J!UuCy)CKDc3ayoY@w-=?^SMBFWP+DM;jW;8^^`g`NVd%@#J23t+2xV(#3Mq_(4pu4bZYCw9kWv-o0P4`|2)xD+3mEQv}P(>_l+%z8~D=I zq0aiMZNkU&FIFwK%0E?qxhT}@>3??vtry#jiYdFYyJM|gKdzOXmE0|&(IlJ{9_P=V>XU`iGuoT- zpteSkvSUdY?2cB5^hBPmA9(9_==cPwp?pVD^x@-Ik z&Yvj#+tq{4!Rq_FhsFi%F6Rr*jCO~3Ra@Va&wkZvON_anEqn9p`^_l7Uiq5y(55n4 z_48{Nn;z)XyDLsRyQH;t$xS>?Dc{e(Ir6w` z^zu&mN~^&4YvptDVRaC#y7l;hcRJoVGg0f(Wkc>e+P|ENz9gKtmPdY)y(}o}2G`I1 zy3QJw1-!^>DxFb&Ly5Pw3);qac3`(x7F;$ z?p{$${mkTJqZb{%?V3cDw%0!HoDsXH4!en7@#)ivjvJJx-*m@CZRE0>=-5;k)J&AFJGI4^0Vmdus>XN7Q4=x#9hzw>a`a`|#K=9dWCE&_0ydY3>~u z7(SE$$o8zL($DU?O5V!z`fH*fDSVkF@%y#tYf6j8X%%0!N}QQ-;eSI1-zH&~)M&%y z=Ns+n7~dpq5s&bd|6Me;DrJk4t=-CPLcP@%+r;=#Z4aIrFOhi*&eYS-jT+9lRio8K z-@-$>vQ+PuJJHd>?h1Xv-l{1tEDM**q^B$6>(rs+W}k6=|I?REyZX%2%OjUeXRFo` zebU*fO%7B~j0&A;=bba@H`AD7BlbYFG~J!%lYEPo5n42*M6~94EjQ19jK84kmArLX z*B?0Ut%RZZ-fjGDXP)22^9}Kx8b5?{%bd-E@tUl5Yfh54;^pEQx9>%R-yVO>PANkpj|VRwUb!`@EYqMD?jDLUV&1_Hie;GMAv|VXC>#A0df%EKW zr)r(QbL;9&Pu~Uf4k!L*YtXR6n$)ela5*8atNwVi@Kf#Fnbk)1NxP}z zv!eJV_scJT{6LRm)o;4R{R-U(k{;A1r{dI4+C#SwD?OC+z zN7lWvx*hjeFAgYwP~LsLarfTxJH?6>soVIt*rHYUVAVUb%h=7&xNZ9ti<))yXkT~5 z*Iq?a@x5K#J#PJ7S1(`ju4?x3Ywgka?lU;e!0!5~*8U#%xzCpE)~26b(_Jy|?$q}3 zPh0kOvFz$~iBD+8ljb^MtF~P^C{$h)l?~N5{^|J6j@8=6s>>4-t$Wp{-RJ&Ci_~^q z{g#hFVBKw-KBA1xyW3kfDDHD##hCbCPfb+L%1^paJd0JMTmP*3^NYV*$6K*=yjkHy zrhBDaEEj{bm%|eo@Zn+{Et;N*DdjQEL9&hx>{4Ax!<1gG1Q)oZhkwGbc3IL4H=gOU zZj7qqGZJr&#M{hf^R@BVffm704v1+?g!GcJPnY9+_Ph2w@~t1?DiA+V>dNHck#R{4 zn|66D7vU1jJHu9n;wJQCQF0N^Cdb{UWY9Z=vn8x~Me5~Cq8S@q$Wp6q=t?vdmmO~X z=(bhyJ8LQrJH7G`Z(SoCb}k9R4;$79M7$q1tS>+N;(Wyq6|869898IDKJ?K>`|wD& zarl|n<;zo>@7i~GXt#WLq3BzE^(kG3l37p`9ALoGL`+{dz*!uE?x9 z${to5tY$Bd^V?tl=Cb|izU9_W8$Y)9o2!DcQ~5=yd04(*`;qWi_euE^4?H6LVfVMO z-YMMt(YjBx?W}BYF7+uNJ6$&+{Bd=~r9rvT{L#ASv`@*6y*t^uc4gg^)*zir+@jU@ zyp^atCS$u#s#Y&LmQs68J3e%IP#$~xt_f|A{mV;Mg|jHqg?r9xmlSEbx%`A8&#m5h zVs68U*+<&8{fqu0zJH*P{y%NUov+EG=USt6qsLBM`{ED8Z7)v0D7<*>6W^wPN zKl7sVBEGEO4*d?$g76~=Fa!%g0_T}a!s!neH@^w6yk}YX#J=%T<5+F`FKUf@T0blA z#nd}9Jyoss?=Fp7JLPe{s-hpU$~|_|cJtIP%P*=lg_xXJse02|NgTIdwYJH<2Rr%F zt4b3WkwJW%PtVqN9BZv;QJu=EMC(O6aY1v$_5r@4zv8>MK5IX-#o3xz?{r2+|C??7 zw@LRQeVa8jakgvn#JxjCr8B5>oUEF3pC9_ARNg+2l55tt|Ey>7Y@3k&w%RgV^Vjv8 z%jPy4bpu-ujXwX+b3c=xJl8qZx$R>MQs*oD|6=X^!`rCueBaR^xPoQ(XvSlCtT>Kl zG*U*29LGXQ4Q;{m^;hy&vTVnSlbR4pWEARzA0@`+gr4p>n(;^;$#NVEg_@L>SSUe2 zx)9gp8n$OQHikMOEs1M-0;hW#2e-$xd+uV_J&+h znXjpq3(PhlUHP28zt`Q#b54a3$wPc-nNK+P88gCp!U2i+3ynu+T!ArZS`77EFvXN`0Q-meo72ka{H%ne4^uVlCk2(#k4eIS&YNltd z4vCNb=@(VK4{|U$#%^T{j(PGWoi%$+qCvH>)Yg#dV7)rHwFo&eAcJ|EYQy{)DLp&q zHW?(^DCI(QQxqdVD&qGEOht4^~cw|OzK0-Pmu<-U8v^*-gf4tBc0RHjc?vZsuz}L zNfa&XW`4lWD>?ifl>Lu+ZlY!B@I8ObEIs5Wj(It-{meT`i!j7GI`!`8q`NFOlahw@ zK{0w(^Qk2v%;uTgxDa8lBkriA-*2>uK~-5A*BYiGado;iG9m}`PZI3#r2a!GbwC{q z;}GbB^{StsVKLVe^v?M{k;rWH8mac%vN1(*(jC4yY7KwW9tz}-8g&?-nM>Dk*|E^a zGjb{>c*n$aK|7eJ8Pc{&&Phlra!bfKO|+5b)9}MJPomWaUOK4>O|)1^BRCnlErth* zL@CFprczc?WcIt8b(v;T7M9P5V}w`p)LfX6$PSs`ujGm@EhEs5d@toTQ{ql9i@Hf~!g>$M z#WW>(7>#jTB?&QlGM=D1&dO@?sO?&`s$Y!7SS?y~!9sy2O6-`M>fts=+aVr5YR} zhYZEST6xYwwaHfLj+_)GEUc8E9FtV#l5T0`UYL|rki!GAI>7cKs#OLR+-w|^5G6Y- zm6nX%G_2@MiD@Ty>QiB+AB`!qS9Ll>g16`XN(XDUS);wT z&CT=;v6P~kZWBNVYk<;sX`7&lO^{PAD%dKOwQ~~1g4{-9&dO-!bFyHiJk*>k)(<~%4ef^C6{NLRI*~(@FkEJGhr>3Z* zkQIiZYfvX;*p4-pS~Y2E#qv1`r7n=2EX8Iv;!yuoek5X_4WK zWOj7xK>wOrqii@v+F?zdw2(CENx?kC^-}F$eXBxO7-ZRP2`Xly*gADiR&@p91^$-x zvV|lj0XA}zgHBo`O$MpcYbK4Fa!lhTp8#K`TNz7J*hHEv0%gY%*8`oP_~a;Vx>>N^ z1f6+Yk~PgNAVCRPMm|$410r2*DuFJ{Q+Ow)w#k=pk%9ASrdK8!KE_h4!zArz1<<;8 z>Ue-}gpr%1lZ3XyKc__|vcn9>cF3%fW6;e|qbRsQ425HZRW(NnSfz+mf|`rpnJ}iMD#%B`mtr{B!VJR$zkP&Rz31m$ zsm1EIJi<@KosFs#p&6THQ2oR%sh(KLI_0-CH_Gu^PbMm6b~dUhdZ57SKK4Hwv^0XojF!Bs7Y&N~ z+v1eGl*uhV>cf!DB4#*0QN!KT zRUM8Pdrc8eHbu-C)1Xd$LSR7aE=`UZ0I?aH<*m|IA;r&wzC{Js6y?u%lM1F!CR|Vx zFBm5=#YN1xavV#+l1Q~l;G;~z|D&V(zRJ}}TenxS&dVsq9Iwuchx$ZQtzX=JSu4xK z!gdCXU@E5Azpd=d{V?PCXRhIX)l=9}+psa^8FRNbY*b&>pL2&l;;u*L9u``qIu&Ax z4(`+D^PVxOHStx|GsXP@%l}#RoTz+HU$BoAJD6W^pGVN6VlDIipS@bDS>u?EAXFBl zn#00%6su#E?dQ8|+1=Bm&R)w6EOE2Ey)$*sq&^>9!BN+JVk`5&!smz_C^GHQT$g(j zv#2knxP{8NUSE2fi}Zh)Q^m3bG6&d%IfxbHtoZA^=rLK9Mtn%djj3Z4R2 z@yZU@10q^A^cL2QiiZ1Ju50pmb<6abRf9c!0POi7b1SyUM{PT{3rF`3KH~nCuz2QM zV-M}BVzqbH#NcCS(3eikSBdYe8r+V*7W#~9MERV6v>3l{YfyS=v0{$=wEQZGcarp8 z0**@u+u1tS)1~t&X!3Cu)G-1w!9ldYhPhu4Vsl5m;pC-dvt^s(@WbeJmykNe4i zk}|~okaGp341A^)XP7HkS^`+&{HITRAWcY5c*65ijA2q2#IMDUcN_91u!I}dw67ue zjMhS`*umlt*dK5>b;wf{aW6>;5Vfw!O9u}NuD-cLy;ZRdp^+cP4_3u0iXcN)p@$B6 z(rfXcyGQ;3S2aH<{(yaEVXjNwQe73P3aR!60Sy{I;41s!^blpw9_;6ja9x$71D$wx zXZ3&rBk-O;KO4jE;vsAo&JwQ7z@t~Ny_0#Kng7o z)DD&VmDl*&%86irG*&U2^q-J1?rWpZ>t7+w^US=_-`&qKyNz0|RcR?yUS~PmkAwZ_ znzF)?j*`S(o9@T_3}^dQiqj4=VY0x+)hgW;nhl2zDVvoR4Kz60g5Hn*#Byvix5_YW zD?hsEu4TTf>AoIxyweE&a(AEHZM1P9T%=++=vx1^g8lL`z+9bk=h$={E(oItbQ%R@ zl18OaWJy*e`ov<_5(EOhSd_F>LaSx9cC1V3MM$yD2!rYUa@U|fY}Cs!?y>MNe<0Mw zgQ(LEkfG9nm$(J76IZT<6&D@hxs8@*)jnNg_&!v#*1 zCHPr<<9z-1Z`pTEi^Kq^9VP(7JY&>=j@orOkhTFl! zW$b1a<=-gaII7{TN;}Xr3AReou)Fi6Y+Tu2%EosQ-4n0S2QJ!dO54enRMdP5AvJt zdm<5B7FHS>HrYzX4{Q%~t|-cgu}or>BeoL5V|^qw&TPWmb-TTl>A-8Kxn3A&M(`RT z^}=Pm62&9>lCY>8Zrg3xR~Y8MaC&`wh2y?k_+i8q>KYqS-*;ceee!jlZ*2&2%j#LK z6MNtjWM$XiC$J*9c5q7R6wM)l+a$(B6|NN^o+=vaO}*^-0LKLA?0tPMJTHD+;ddR? z>U&oLu8M2&5$)4}tBO6EuRpjV!GjB*X51VPX5%K}5WO;!*ex*<+5GX=skHM+SbuK>bOX;`YCQ8GsUU`q8YvbOSAbu;s( z_EZB_=U7`O|ANsVxIcijsHr9)@LlKF=vlmwPYBV`VCX@C-6}wo+o1R+wS%2CIvgRD zY2*{s1cZ2?ISJthvBdL_qh2AqlKLKqzdDfQm=c0!n4DS^3g%AKF@Rtpt^&!z!D*Uq zkpZ$zTC64+VmAXwAeU%rieU!g;~Fzn%~_f{MQQ_vn3aZ)A(z<6K%yQANlZJq+a9?y zR>!?pF|YQM7+P=F2)lXuJvOEeahs<**e8`Yvj=aq6WN1GNB+t1sx~&LwDo>hsy9B~ zHJ|Dy`TX6&{ba;Mio?CBkEb2!4uYH=(4&La}ma)5C_e0j!^Kr#|YHbcM z)h|A-xz@f|-c#%(=qGdQ%|oSE#y}u_NP=eV?~H9^vm7+T72EQ%Q!BE*Vuk$XWv_QY z{802>C=FZ@=vv~R9%aP%i-@JU$ zJMR@C1fLiCrmo;fx0r^H=KSJ_z5{fl-{Gw%%Eb=6HS2wOshIO-y;ltRsCUdLmeWR= zHwH6px@HU-9mo)Y0n2*lFN%iz;l&$oEZfT`E*s^FsQA6I*d}cmhY)MT>~*yPP*8Dpd|gzI+gd)GTV&;8S*U329Ex6 z?Rz-e0C~;auY@V-%BU%|8eIglT-_+=@BDUkS-loqk!o6&0RQm)H7Wbb$ z-Cc1P^CRu>z-VFP*ajY^1-sr9rx<>6a#SC|Ua8SvA1_u!Q*$k~4Nu(0Rsxb1R-~IwqL{v%af)tDK#N13T6-1d)3@s%+_js8E??5pXDl+CHgBwxk<~KRJ%4i#r&>YQi)U0&nuw=df1fd zmCp6VUJ-fflXnw(l@hroa=c1EE|^8d;eXbHz+D;CDS4h3eUZN2g~qHIgFB4yVEp20OBHE&bG zW4?>OVP>OReMq~-wu~?94S3+JF(ySXvzp0!ol|f#!1`XgLU z35S?i+R{aJhi@TPLzq;!@XMU@NaqZ3&Y+?3+EBWPwkYR{uc_*c*d5eVF0C8SBA%ai zj-jkjz&$gaxwtZnTTx~fx5}-c zI0hPs@Qh4=fw3pA0rjD)gR+Wj;~^s{(J#y9Z9vblel5D1K9{X zW$(;Ev#vP`ETiU1C?bG?w$-05^Xes@9$?*2Bcj9T@mi9(N}fK+Zxg5lha$9(n9u2y z*aNDUS^V`Cvq;!&%q3m$rcr!RysF;9Bb__n%fv%ds>BGR#^XdbQ-}bQ z+e{D8EOS)AABxp;(wEiEj1e)*5^QEP8Z5~8r0%&*!yAolLTW&x)21xVN4kUowX8m9 z(TPhoNpAgCIKvUE5NK6JF2gX3lz9qE8}2Cek*h-H@AR<)3rPQI$FgCeWfFL<0 zg7!0iW3#zg8N(3#36ROkX*XF95+o%pO`>1rn$#(6Ry%YSfyx5}8LHyO(w$$tg<@9QoLSmuBM$PDT{?3E-6?@ zl9?kAGlJ@E)6HpGsu1VSLmE#LoTg-#w5?Ly7_*ARLP2L77O!SbA_yp15i=IqIH?>1 zb)LHCUs_9=&{+ZY9i{?B{G#5Q1i+bBw-I?S?JJ@*FI?8fB|(OuL|?~CYiKnkOJ;|u zxx_d$nKhlnDYO1EH>I<(&AU%C+DQ{Jd1!rq9_egIMwU(b`58Tn42zbuKvb);4#b*w z7So-9QSKO=isN!}R%uGn=K@TVEI~`ooCB#UO~7l`ZWTBdC!iRfy-O$snT) zGf~mZ;T#-gxCAAtI?NXYep^P^h-6AK_y`fYIJwJEguBdqlR2W!1ey>hyBk%PMRk}N zDVHOoh)ODQCyZu?>DS+E(Pcs_`^97YHc~4P&WmNyjNKNHb-Q>2HfDGpwv<8)kbMh`2&ctGsEkq{nX~l>%s8JnuGc%E$d7r}^ znMOoFm7Vi<6zY!ctK%z)@FcI#cBRy(<=Eef(NkVKw-7JM!-*{I&opqKlzO^%{Y3r) zKT}KEjSdY7gNvZ5G@RMUx7xg}>P+QmtXhxfYu!ww;%x4YOudo&08VzkQC|spraUXN z8amiN&KKu8q}z^$IS|Kq;cr6{;5pcla-hAjdXGmKpB<4nItLu(Ihcl7XUPEO%p?(( z%vN&~2YIO_H%HHf;3^~4l9{V6=}n``417V-vVMiP_5c5rZk=$C;>>Pud}I9C_q~_* zbTvGyu87LU_*YraV(gd9f;ejLV4sQmR>aCSOzvC}w{Ja=o#nRps|&$LR>Z(2?U$M0 z9tgnhg}?BoV!j&Jqi2-u-I1-hBIa}@X1=Rc94Q95>ni*Dnt)->ipK{wpkL?KE^s?0 zg~{punH}PW=1smo&I2!7;a3M!P;hY@5)gt}|Kw+J=#? z&m(i;Rj#4t^N0}!tLvQc5jTHg?uzdt?wxmYnYZF~Gr9ADwny6M>hf(|hrT9WsGDfa z{YqO92Nq{PM;G|$^jv#3(OFff*GG43g?X(yo~TdH@{Qpq5zOE9z^f-ZmUi_qy`_+O ztEZ~8C&BEt*wzBB2P83}G(Um;iY?LH5%4#&Yztgl;A~}Iun+ZA*y572pIZrd220K^ zE_j&uV=WcIYI#KxI(GDw)Hb0bKwm1%|72bSHq}>`uRYrqZzBV<4Yl#%xmWbIhK|wK z$rQT6%^UjqO{-;+8`61O1wQx-d3-W)0;%@AihuTw`IA zex^`!`S_YL_f9O7TPpn+UB=h68J@qYUDKzzwnU`J)sqkUw?F@={{i-L^@7~xeobDG z-|t~K<1$v?L3M0fAiN+fh|}ByF?~V2jHj`0*1ri|R`gkR16w^y>UO|i!1uWJD9+_gKHNE2;}H_t^dLiZHMr@ zwsyk)je}oy-nJsiFPORT4%BoO=MBSphN~O3KZ*T_ z%bms%a#^1@7Ub?&ZjUj7@9k=nGt+uoFg!ujxnMZ0lH)$#s78h`Ut>%VxELVk-6MM- z^3qHCC1ycBjHJ8-XVa}cTR2w%_eRdD#`gQIQkQl~5&Kylb8)pzY6;!ZqXrY$1xPXO z`VkwHIPt91^Nh=D3U!LjY7cjcHHV&J;ZVf_o!~Ns#V+=kbm=aOqStBmA8|lQW7)n~ z@D(Kc3OSF$?84aRgtP^Mv#Qz_=)g-HZ~Ou;_-}9ggiI$*nVdGQyrgUDKJPg$XOS2< z=tW^#o>Z~@Lp&gv+XAmH2Vb{6#a$(B^tz$CHSi?^-?Je1+eV33mCm==J9~BK*bDzs%@@K zZ2IH6&sbp-tGzLHUf7ghjpSc>wq`Z{V7>H4{)2^2>*6EQXZb=~bf7Q>)NbBSGKDd!&D(ZS9$pKF@^BX<7T&y5q%uC}Tp#!C&43HMB%8Eb7AVLxqsH~SgK z4aSCz?C&df-JdE?-OXjL&lx_D$cK&ehdl~+J!`MEDZ}K-HW;g>G653=M`k*U0{ zpv+|!jbo>xG(-e0)O;Hk`U|Hz@4-C`Td7@Vnec*V9Tg8`77o#r#8V*zsgd=!-}Xu1ywsumhw&A_alfE%ZzLer>DwhpMEse)U2p&Q^zHPY z>Dw>JVxVttki|d)|9_FiK=FP-7BBpnEQSN<|C20UCwkigU-JJML@wfs;X-7UJVmx{tx(X|GRm;Rfg zFe1_b^2%u9^r2ZV+}}mKXtijlf_&6~*Su&-zVU`H?jWF}01<2zXW?22_UoqtF!O;) z#{YVQ3CzFV)`!HOZz{D80^b-RfV-^Uk}|#Czz~`)-La`6zI}^0BC#*nwqHlgVaT}T z*irtd_Qol1_BZ}<6v$0BKYJlF%C=W9Ri`Ug8B)=6kL@}y_{LE^*N6Mu<1yEd(S0mi zmA&xr_6}}3R9D%uV{1dzqpe1JMSaaR-*WsBVb9Xxu2Nk%I^E3GZ`kYF(wP0ypjdid zo-7^KM<3!HnT78>xSfMi}c@A-(~cOz_tWlP^P)fEcc8OID6=@ zD)Aq9W;vJsgsN>&H-5y1i-G5fdAqdB(^jm7`nvRQlssH>R4uW;D{>orkAI7epV-2C zO;fqC=k)CVQCHx9mn}~o^Z$L_ErWm`5Bq!?%Qg@(S+NlPu`sG0q_47<)AXSR9O1RsJ+hj)2{~e92RTw=CFwE;bk;#+ZUEQ)y$u)32<_r@3K~&6L@9v*OXpOO1bN zh%Wy1(zA-|_MFLvVya~0&&pFh#Q-3$@1CKYP|m1F1=nA0Lu{6IU#~H`+>69Kh}4g? z60(lTQSohV(>p7w&ofgD&Q?Wcf~QNtU{QJSj*{9gwG&d|_Z}Rz2h)90N;)CwRvo!w zftIbCa2eHfg?1*gZ)0qHW7c`M&V&NH*bSA!d-(X3*{|Y9g0b-$95ZYyhBRCn(trAP z8r{Ws${HHpyLI#%MwF8S{0oI(<$}CmB%ZeAGq1$-5B+uTJ!33u-x;_j_NsVL-TMYn zqm!td+NKO99;`khPcQ*_;FqO$lt{c_E3q7@az@Chbi3_kKf2hRZalXy^ecT$A|2^5 zuDxbNEL`IsHF{g5f2w{2J)rexSbw;c)GJrG!}=H(dgfkEeMgMPyx*E#Mh*PHq%m4k zi!*_)`k8sVAJxtsoD}u%?>#!VuWR7$L;7G$dYSz(@rdWc9r-^N@t4t#8PZ%+2!no2 zhOyV^V|#7Vz=k~8K%5^Rnh)TqQnlaPTr9tadCgDiHQ^v>eK)fbxC5jo)#WjZEM+e$ zTI93}b>$Z=O=i2f`4D!{&R)TQ!CWET?o#2nx)UmDf}DwBHI~XqDxEDU-XKJ=B)(&8 zm{@hK$fS!r@PW*4RbrMw?McrzTUGfuYL;tav*#qA#RvnKx!I5$i|D*syYEK>U226s zDJ1lhN<|mvS2I8~hD5rRx6SCsz=mQr(g~I_pg+3lcG1;{-S$pR*PFG^xXkWl^aNT^ zZ)UQRFcxdkU+)*jqH5-F*Ek=J`JSCb!hD1gg+LG9_K;O2%vpjub*JrPq@t&w%(Q`JT`89{`tRx+%9TlYDP(u8ALe5qozbL zNhfCj*(>tZmKG&xsnQ*f3Qd2ZHz4@!LHI`IrfIIpVx8xQZ&eKELfM>tQ<*g)i5Ves zt5vYnlw@?wu+vF%luq`_te0O1T0|tVgwvbAqGKIx75HpSuADybQE*&RVmvb|ICO9a zI4J@#fVHHaM@=+k98=E8P2jPWJgm^7HU|SP3Bn)*b(AI%J__Qf!pJqcMdzBZrIfuj zDlDkG1N(_piAEHoQ4LL;#h@x_#$l4T$vKPFfOJCIr0wK& zxSo~jFoAoUnK~y7)aF=o)Wn^Goq}?(x7PtIJx*B-_V%KZp!98+8|EB391u1@wt}IA zCVCqWWs1Y9?3BMFS_US$UR@c$AnC&dX22XNg9u$^kO44O<0y@=>&Xy!Nl7Y}ZCH>l zeb_Oau-7#6iZb2UJb~wDaewb@(ckuRpJZQ^(gS{9ySy`du`Dg+W{yVol=M#kmVJ2S zp+&h!$Z}~bnKAC2tts!5OZr=HHE!bdUr2NQ>Go1RKRYv57JlyK z(qh7sS~BRSndR=yMq~wPe04OieWT={r`Z%IX_GPy5)KK-*a@gWYH}+Ic-3)vdaImG zymJTQ59;cWdt845j|tO{!F7IfB`7=b#?oT*T^_?dHd9em@^rt`xb>OqO!T!#19|#i zIKwlm-=pFFMftZU%$qZgTl1b0@jVw5vZg#ro78QEh(#MxtDy#^bFT9$kNI1gZgViQ z$!@l3YtR3;GSKT=lyL4Mx7EWA<4 z{G)#^e;;$7){cS`4SzUzari=T!Zi{9M^{aO*)SXNH7Iv3tB=G1^882j2)EXTtCv-I zMIoRtj#n(|vl;sl?vKj((q@5eH`?dE5eJQA?(31@0*>pO$6FMn*OC_H6BOAz&H^&o zqq6_0WD3U$x)ir{tBEZlWH)-Tyj6B}qivGlTAGRF1OX4rSIv+Hi);n9*fr-*R%S<*7z2qo-D`E+3d;$XX`Rvsj1)l;4b1^6Gv^I z<;aU<^RAi_*&N*})Rgvps3>n3D+b5aOs+R=!4@ui)|gg?m7$ufT9AkMqm{u<&#=vt z30xc@moc+8hk&gNPm;^MOehcDjBzr=WlYF7YXAJ6`@?;%cwRpM<%(B&W+XQmliH+G zY6jfW*cmBx#92+Jy0V`6&Yqay>0whJXkDml@EO5{zeVoN)=BQ*y#{V~)w2uPUb1hs zN8Mr^mE61YTdLEzW+MO5eNT{$d0@Ur3Lu--lWU!a$!A%To)t#&Yhz$V(AkxG!&ro7 zgoE|b*WD1t`RrlR5YCSCLs$hX1a1hYG_`>YMLS@1&XT}|1AFj5fp6oiV{muLyWmw- zGta;Jeq;&#&K{FbnRj|l%}Q4O3XVysh#r$9Jp0p$O2l=YNojs*H=--MUy_tveF|c` zQpW)gkENJn(gUaWc(VMOt7nsJ30|-BZp<6O?$U7H{#m8`YbfNGH))@SFN{cIfd>ZW zUwY1H71vW6pl!D(og0l>-L{ZgP?wb>P+O?lm({M(NLjTJFqb&O^_Coq>XLpj{SBo> z&Fw?M1szNp;}0sNS81LmI?E#IOHA#nZ@n#fApwLdZ`Kv1X-;ZEqH9cY2Sn?_MZZYF zPvJoCXU#kL&H6cMB+t=!q`+xC2n@fjg+A?>9yHEL^){HJb>;2j)%cY0!iFx7UStLf zuj(Zk4;Eh3vwmr?@IwNd9;d3O^iI6FaFXB2p5)s}jX5irukxVosV;3%FJXL&Hz_OisD7B6%oxVj(hT4I6Ls84f9O_>@nrOawUipTB`e@ z%3$})kBV-1%$G!0cTvHcMIjuEZm)>px51sga`*02Cq#EAQx|b{b$vJQilN{i!{JjO zqCRQ&XlhRC=vs9)pCSugeGgV#kXm9t{@eUP(&jt$X8!kkpJ@Asmka*8nd6^jv-M;( zP+7&*`+$0Z{7-x(Kfk4#t6VY0TC2Gq|FbrBBF=3eA3JfFoDXMTsvf}Qu@jv}${2@F zYuS1k*x%>5wQP2?yz|*%zFsh;uE1-r5vYJLCC8cnG!e#tU+?W%*QrS?jC{Kk{EZwcdL^`1_) z@{PGSPIPjWpJ^vvsphJfXmN%iyIMW*gHl$PbG%#>4oFk#z_7_uZKyvSup@6MH_(T>2AIsbs*UV9 zLkCsYYLcv_+4a{Tg5_uei#vDPd8HXLiC}3I*!~3z8#v5DhPQHxthe#1bsBcPy@A87 z)45y5psL`>@PzjNqI}Vf#Q^2||2vv{qdUOn-ssNnzvxclMtktPiHE=F&g*ID&R{=z zCV#i{ugQD9E6^S1SEKK(cju1zx7IGMlfokU$wfeX-8T^5*S|n~KmFxXCwEuz9dC2v zcq0=jG03izo8=3s^8Ic4hpBQ~n?4kcmZQcDCG`r_IKraz6VY+x? zT+jb3mra#7{w#L_?%VVe`nQ*_fF+nQm}}FsMU7YFV$@@JKg6=(HRKEBV!1e6E=IjT z{6-ID+e*dow~Z@UUPjjqd8;mO7Ki1{-tuPeaQSq;bfcMBZ_YSB#Oqivu*WA}F8aPb z&ig-w;qhF&1IvL8?|Bagf&*Oip!gJ*(`{lfn^Cvb{9GC5Rd7rk!BmX|?Srs}B zXF=53%Cj4DO^5W3e7J{o^{_)>_uIxyM`cmk@{SyOVbIk8443!1!nF5P<~K5)npA9j zgRpUz5l;D57CT*|tauL-FKm6QmJ>qyG5Uu!6mAS;@DX2G-A zJF8%ui69T`sIEAtM;lqU;w*DVnHsj)8TVToW)v^OJgwFM(0E$+6hXyl;3LzrUTvdU z@{pi@EP()?#-W?Yz7ICT58X%`lUuH*>1=Tm97J@$G%zSvB83l9%CBaGe zebiSW2oogcw*|(Khb_vXeoq4rDC3(3on0by@|QhxpFaI-6bs8ZWs*I#Lmkj6pP7sL zakHV$fDLSeZpg{PgEg+AOHdoJoFvLMbn_0g-Qs2RtSaaGPZ~Bs99M?;c0)lg&{R)_ zpI0RVhX=0VFG}Kz4^mli+v6yi<*iqG7WOZ555y| z)-Xl_`jRkSI;kF03}GsHg-Dt{I%CJM$`D8x&W5noGNU;(vk)z5!l5HF`+YHVbEvCE zXb~Lmh^G#yS9_FEqHK*eg9X+rdgUb7T@x0TL+W;=a#Eb_0WR6zi^B~l+OOOa9aXln zYvQ%&lq^brpDmJX-2Ovh!_=@=G0t7zthaI%pUeJ@(lS*s7s<_tM~$$gj`y_LD{TQ{ zTdF=W`$C^$>F|_lA6(2T>b`vTG@ttpnQ$+m1x@m@^Q7>V-1~A&9T7Xn_4GooC6fn= zSilW-7j&v$V>4N;sPHtIYxK z>pc)ka?)%jpYg||rHWRPi`&yjq!rEx#e6M2GvZxb|CL8F9qRA!lE-x&$q(xz_#foU z>N9LkWe!yjshhQ27dJ4$=4H=3e@!W(R>fx=$xB}?btu1;*Ytftoz`MJcwGyP%%KVH zDe(>QW;r#6>UIo_mE;V$w)N&HgtZ^e{dOA0)m?Ssi3EAsJY1;wcUBAz4;IMi+scYA zhc&Ziwb=6rdjhi#ZkBWB8J@`diB3^st>IQa=+3SYw~w(ES&ਆot9@B8TcVLW7 z&47oYW@fGZ5+8Sx{b(x#IXj6Uy4ma3bRJuKa@HPSQWY6A!-ItYEjTPk{UTGolDnfW>v7>p87aED@0;5Pns#Mkz$Ag z7ATLBq|_L4&xKAR$3C*-+RT)?%7O@XO4c4y4IqN?z+6dEcH*t9QdbNW>^OZw8e%hf zxztofl`}d%W;hV7h(X*f?JQa)VPP)N-%FmoW&Z@bzV^vmg{-j133(E>Q2T8TQ!mBL zKxE)}YR=3zo50;(oJr~d!@*i)B-C_5eyl+En#-=6otVCyT7sT+* zn>n=2fCBI=Ek7vLX|hTGx|Y#QM^RX#pZ}Lzsi+xDC|JsEQmFj|C-s}xl^DmUtdlw{ zGDiXVGS~|HIK(KhXG7dV#h^QskG7gLfpSt zmRW0;;<(ijG>0uxf&#Lc=aV#5wt`lvW=u(K9?P%COq9lIGiuVrCvUmIJX$D5aj4Cb z2(|DQgdopK3s7!BM8L-la8Exc$)Gn|t|m6zK2539RFf%b(j1#zT1nBaDi*}@l$?{y zM3l6Uv>?%xB46Yg*sd)62Td)h(-Di?uU+Ny0=K{7Si{l(o4B`uZlgTcMMq-b3N~k7 z&v>ki6(`n=KglCS{>8~Q-6_?KKFK3l{!;~*6iVzUsgpuWQkR+@&c2%QCwpYeaU?|Q zr2ND}fPl0luFH11+iu$k>OeNd#`GFC?MhO&$8>j}O@iTsZhCrO(S6t2_iwFx*12n4 zCD!O?B+bt^-}ipc`@GLXWIL^YwRG6vNXJDE*tLpqT3ObnwD@A)Yl(A2COsT;@9!*> zs#Th0%5B=EnE+KWi~tRJU>?FxsZa9CN=}m6bwK%&8J-fc=mI5Td&LIG2%Df34e&=G z&x&4?-E@i#S`-%z7$clfuezw9MuRFB`*m+Y*JUFPw81{izqfNxv&WQqM}=iC@Yd~9 z$cx4RgQf*cu_;x>cpwVE?L8jMns3A`HOMAHPFE{mw7#-cH0ni+Vz zF|iEg_*2yhXadw@DJ#9WL-m=a>@>7Ih3XYUMp2}0DN6Bzz&}8Q42J;+ zwS)8tTuw0<>&DE;@LJ$_7br!h?7^nZvKSovMgjEPM7Nrg?J{lHmPmw+6OougCNiu8 zh1Ozck&R}8v`&RNn#3Ift=LO3{8mq;*|3980@UR^4}iH+bE2fD0kUl-K*UZVW-FS8 z-@}xcLpdtG`H#CBr35oqIVhk=u%0`E-{Kx|eu-(1_{k%r%fH#0Ro-nXws|_5b)lV$ z>yPt4Bh7p3$5DfAQyh8s$WtS~Q!l?J{GsFN$@IVKTs6Be>pq8u$V~K!Cu-G`2_gTV zCZ@<9`rG;CQ~TS$cu{g*Ro&J4&?A@7fU(8)!1OxzhipqlUwM}-dfvi6Qhud=XVHcV z_l%89N9|7sTz8Khmp!U6_}4%sJiTt^|WMhY@kg^zn$tfr8V8^YtV7&5n`TAp`4Lc~N1 z6YhLVdX2UJ+{x}AApYl7qxa$?_)^wnyJ1X;$<91+uBf&J9=Q7UM~b@^bJh%*A5rd? z0=@Y$`0|S^2xpp9G%m#^umi=UNz4wPeJVXSFJ&fTBa26oG{&Kqjb8he(|A@YVdX3Q;sp5;zKYG5ja*svE9T@qtRt?HFxWix{)F{-64qMc492t8}PYg-V z3E%{Fmr=eJwD{?cfa3^W4VY{unB(+}L*^WuCB?dD-%3Fj0cj2IR26ioaYV;UX%d)U zQuZ5kEPqBpw_BvR$xhn=z5nbMFZBV`XX}+19tm?CfPFj6LmMUNQgmp8cm5o%i-ma% z?}~PC87Wm;z=Q;DOw#N%m#Ofh(2Ak^2k$HsP~0g+w3|!o*v)Y_WV-BpDRT-oZt!AU zQfMlEz5c1YL{FL*Kl`3C+G(Qp$`s=?Q@N6^ipg%V3rbdjT`>ueFA0DiHDnQBlPASR(a(;q`Za{o}RXMW$=Kf4QS@?jPl| zwZCC5>_7T+EqCku}OK&)v#TN(0wzx8nbN|1f&*AJV%EOzEj|EWT3muvpWD@UP_>i+b9QV*p=4a2``Z7QD_ zed^cu&FBxSSM#tF&;L*_Kt)!2tLlC*bvg8r5!tS^p&heJUueELGKu=zlt~udg2&M} zGE%jyXXJXm@~WD9i_B`-%)R>K;+`K!8=98={pb%K9BTTAKkU4u<;Y87y72LG_*zbG zS3CIj%`LXqrLC^Xdupbn?_Rp=Lpj}e>8`EQsO`J$c)Ln$lJL=%rqJPjQ@!@(rCUBx zW^36=JfsWnNgo+#+u8H(chsK>(~Ihp;#?0ptE>ti3WoHhEuPw@%tk8lk!fdTzj zYAxTOwU7qwZ*sAtU0h*zQk&DiX>5cRuzKIgrFL~Z;#tAz#ryvH{$yrL!c@gQFEHDo zqaT;iq#~h$FsXiL7b|d{UbGJxrm+E3_L_l$#q}@t2$WP{fO0XYDlIzO=Z>itQU7zd zkP{=@^>*aHme2`EUB9ZF5-Thtc}T!8J7llP+ru5#dt3PL+tfQ|^ffEt^<81%J4mYK zz`X(Ass!;0%VyVAd%q$P(f(_pR|*vr=QW?)SmP7ftjKIf*cv1{TE-^Ft4xeN6%l;B zqJke-qy;zE+`DT^f10Pulvc5S+i=4CX3`u|FY_xc5_d!qKW{|(knaK%GRZ*EeM|$b zTpWYkIrzpT%4xf_pooK_pwtQwrgH2O;=SyR+BTIUp*2HaO_?AP7l$5`7>|I=?j|Q5 zs|xdPOY8*ahLw%!;mcZ!n#wD+93O91i%NSOVJpQi z2e8Chl2LhHA(6y&gcGDd&#+Ll?M6-BD?6rn%|^4b+Ai~cp7$J%-gJAR&n}QYdFjIS z0c3iB#d&QQ<&kO^Qe@-3&X?ptG${4rTHWzu<__ZfVltd#11l-tP^4<5PuwYswX)&j zRIYWgOT_w1>;fw`4D_fe#w;}2`oGm=Pvb|HrP!2Je5Z+aU0SVJG*ltse9YK~gjXUH z;~($_j1#ClJHA7Gs>j78Xzz?B&nf-b(=Uf&eYPGY5q^XJeN2fa<-f3RGTd+sL(+@b z=)?`9dcE<6+GQ-nG+TZ_RGeSf{U|yv-!DCfdm`!KRi$5!FJPPJu(}x`iU$pn8O0K= z!^Y7K8L=CvRS6vOpuj&*WpEp+3-bqx-0S0V>Jj~WB+^BG6#2H&f;uyyvzbs+)zOtp zof9uCc4~2rv=-L{zp!)o7(qZ zjojy>UsaE?jx}^BmP#wT=A=uuv${OT&yo%CvGAx;`!oN{_cIv;-XQu#umG`}4BV#GIBv$T(#k$MiI8Mf`9RRzSuhH*I;Uy%21PWTzQq5nMG|_M~#ipP+PT!#t zfsW%C!j$Ya>qe?MbsDq^2@LpbTqCcFm0CYJg$yRivpT&KFH5E}yB=D;G5@ zOC!ZDFY;dAd`v^ZJ{vn?k@ZE4WJQIFM^%d(tfJ&#(hR-E$dymh;7uXP7P8%t12E7x zVnCvmk_1}FY=|(Z8aB>6rszV8E&=D$V%O+ySy#$+lNMKJa7IU_7N zsrDfd8x){}VW^bF=q5ZEIe4#EofbRaY#40KjjZN+^@&IRgA!**9!Mw|?Q)25hhidCidBQf|^1tyC5ZJW-v$akmAySz4JTiB0gfYKDoPLgp9##QX<|(%{3; zW)u}`NGp9KP(ox1EPQ5g#k39Lnon;8(?vkMj^AyyLXkc%jb$PmfF$`FrX z11xT?7vQ*4Y&R-vj>K;yr}+5J&uPbmI4vK;Kqkj^$s0kOkzsHirE*_6Ny_L4!a7{#9Z7LF>wbK_bRqf>H7KhQv^!aV8!U;!PqMb1`Be+4hK4D~?BOB<^iNKMGvzQc+(x|5r zzt>)0v~D;~r#&a;hRR@If6ljUb!Q}`W<$1x)%pgXL=t1@`YIT3>*o0Om`L8&oGsy8Vw1|ECSYfYL z#+hE`nO05(&dRM|T~GK`3nAf> zA$)Yl{}S(KwRu#2-J4L=9K|5Qqe6DBL~<7sD!C~ElXZ(-FL7M#(EwMXbMm;tBKq$( z(rm@u2DW`0iXCWpdz8$hEo?$HS3pM}g^=h?23!HU8Quo9%H|3!DjF3UHiK+lHxr7A ze)<$6gt#0-l#2{#`V~<<`VP2pgd@!G0U{~W1Sx^uF@Fmd97ZJk^psdF_uywU!Uu>r zrbH1E_5!3{p4A+y_#4tS8(-IQpv7(N#a+sgnul!Hpr}S^79EyfI-X)9@+%L!TiJ>$ z9`f`Ydsyf>(Lh!MS6f=dnzVRtq$gc-mTi)Wbq)7aq&6Vqs)c@UT#|dz+mg2LR`}nW zDWvbI=two>H?3?nv%I`P9tOqY60b$x##CpoMc!=?4&$PcI?aSubH4|-gb>-#&M}97 zc$4ocI{3J%bqHI15F&K}E5Zn@;?;aq#K9;+7nO(yh5JBAPnkuEGO|Nnw6@7^WzT^9 z*V2;JZBA_X5ATZ3R`knlihq%{UHW3AF3}$;zOu&!YE+7U&%kY3#XEJeSeNpHmfs@z zawPI-MZeHJ{Xs9czlZtm)VM*7f!Bbw;wQ#*r92`2V1s9trNPh&%b!gREPO-sYQ zxI=!@Gx*va0soBAGzF~27ogB7h4OH}{g=bvMZT32BnzjvUQMowW}?Mc-8h1SSkaH5 zFaX&-213vV62Ai-u0(I4t1pd2?%T|dLnehaeL*2O#rEXsDr9V&P_qE8zQ%ow{Pb&M>*|jaVX8Kxuart z<+%Fwfiws8eoHac4-#z8N+4~-wHmteJ!QC1soGud|EWEuWFLv)sw09lsDA83eoG>X zeI>dO^c$>lH<@<9&yZ;W%dWGmxh%u_Ov3&_bj_H0R^CxV_{1uP!mFR~-{ZRE8N_B- z>rWi)9tHdPJ?u6l3$^82MHPhgP?!Fo%I}HXzMNck3(T@14{|-}YNK$%n#G_(dY3k} zofQ2iGusn)E_8(ISTO5ZTJoG={h!E7oy7aj^dBR~zasmWrfinZP@OSF8OFoSqf%j1 zSAp=Zui_&J2x9&$r04S(Nq!pPga!Fqq>I8+<=uw=2C*AShTb8H6u3Jetm+ZQn`;sY zlA)M+yC`-W9tEEZ&F5k343S&I6F>bZ<|Rdcd&Q&o0rp zXVjqf8gY{6Sv&k~``Ny&F?CW0r8l`AfxBw-fS==``QjJhl9Ge#2zr)i7&+iN11tz@ ziFd_ZxtzFawYE2ji9HA608lw=lqJ4P{vuAi`}}m1h(J89$*JxUWhq}Ly3ME#NUnhu zF?Wjy4?ou|Srs!G)!1%hJ7B`BKYAfM2J9Y#j16UhlxZ_*Z$RB?JCocr3|}uE$4{)a zsolmCQ~CqyHN()=Q-=QcF9)I^cms8=sxJ+zBv|t>H@2RSKCcC1=;_kcu*dyoG4j3A z!&jj4_b`W7`kOevy5~@v+@?=TpRjCD*&u1QOTL_2ahu$i#TB=3Nxz(nh{w>DoFgYn zrR;=HiHNPu$dO~*k&;;yQJxZHC|hJ$4t!4A7W{LDv{sBH#-}pYyHiQ{A=t)IwVi3x z#*JYRo&<8x9N2Jdq*}+k1!LH92FU2UpF1UL8i=7!#k~Iui1De|C}Jhit;F1aR?~IzjImMib!ZLaV#~9 znnPtZ#DS6_y;BB18NQiP z;*c5u**Iv7WdI0L62vedd{K%h%n-Z*#9j&(KYJOFejsDQ>kz4wf+6^0AdW2~ur@?T zp!xy=6d?P5chjw(=eoAO3rcZc^nE6)rk0n~*gjK=gXRB_D%<}f^~3q!N&RS!EW8dP zabJhc`RUlfzS0sz;`+W0!gU}L*LOeY)`3V|?SFzoUDx}!tc?CyB<_}#VR!%{aW$U@ z{kjqZMIn@|7BgcHF&`iLJ8n^;Ecngy@i?@V4INuPeNwcj44DK6w@wn2VK(A6-ezEhm0g{WiX~EO+Goi|m?P z$dbuaQG4xUG(3)XJ{7B#I!UcGRolAsSFPiHudT(%OY7JZD3BG2D)bc-HXw^F4Oa39H2V18n3N)eQz43QDs!`tl>j4_^t>)lSQjEtDMtbLd!ty_dS(Ou3cU9XD+>YEKJBUezBPzyUPGE~B0pLjHc2hn}}Sz~={hnnXO-Vmv^eoqdz*%*1T(uq&c8rSk!$UzPqA^>1Uh;J-rKH8dPuFv=y7v*N zGjT)YWz7;V%IBiJpxd~hIkL7hdXu71*5m3OW;AB-KJ&=)dYE0@V$aCsl7*?0HCGFm zl(3FIX@^lZ!px8%LC!Ai6c%e2 zcSMt>@UTo?mB>cDeKVtNUDmxew?_Z zCFPWAef4vYFup}s`(-;_%S`l*+sJmGH2g`$Lj1>kexU~;oTAq~bNF@iIX>O**KQE) z1CElwOoM2NP}>Y@IUor+ki1KyeUPxs5Xtu682KAtM*MlD1@F~&aWQSUPaaM!Rd8~HqRECH?TP2v6F9GK)2-^SHAR?a%S}Xn*JzUM%oUky#4!#9E~N)$ zJ*wY=`6G90v@8!LlPtNewm8ZijA6wWQSb!!frMRsu{YHoVY{diWmub)7N>j*K^Z3p z^hs83Z5b96sh1PvoAyu(_Fj}UO^A|5 zH_V1K?-qSN)r8|lvm6jW5rC*cu_t%bMp8RMbFfons3JO3qffetgo{2 zbK9ELE%=n`BPQFBkXbHOKN;P5>!4IFzQh(dQ8}5BgYQb4PUd(oZ>hh)>E~bk z$fBGU@~EPGKt_sdpH6+1o5*hw#y!5jWk1sDKW7XUw$1SLi^KBM(Nn?}LENq+9~(?w zW|~937To10!m7H$gLU*Od5KJ0yTXSdn|-HIhZ8L;&#j^#ljX%e#QN?u%2!2UmaB@s zUi0vd&DY$Ww$wFUJyzJFwE@*!5O%zLOWOL-kE|Qw?dtOjI%q`7|6H&RH6KQo!=KFI$AUX@ODSG}1Vn23j63Sr>JH{2rCm7zxwU-G(ow_lCt;5d>4027)oD?wL~m zk+H|JgjWDB(6^!CUbm67ByZG{*RhBS7Bm8^hC1CRFR8KA^;Ga-{#H&Xvm{8Gr--+} z+aJyG^R^$D<`so=zy`Sma~+oSQ*K`A5cPS5a+zvbC7xEP2V{vpK=L)LJp(W)VJKcT z=82q=S`-Oz9>r_6r^7->XAPR|CY=PT68VZ5)-17cuueYC)-Zx%)~MtPm?;nn0ZIxP z3S2}ZQEBp9HxkLdF$~T)H&B$HSp!kW5er3WP4s8Q6|J7zNC z5&)1Qh)+tpcfZb!frW^P;vvQ-FlO}|^OEcZj)*gfRctv5@V!`+G`q-N-vSN}fFjbj zOBX~h?OoenhP02-xDHjRJDf6l*0s$c;z={l|+aFQ{ zs8W>tmeaJ*x6la;J1TB@(io?vhEG|>pb6uH9J;P=h;6A{?10si=p=B}7n!S22 z-+RlXg_3~c;1*51q)L2n5uhfZ%cR|kbiF&Bpm@Llt>R6oo6d89^pp#3VRwN*0?gAaMJb|Ab2Sn#SL0*grQie+H~x0Tq>jEx`}t( z3|piDO9{0egK*TSH%jSU<4lu!QyfdZq8H{okOjAZcL#lpP+qL33A}GN3%z#8=i-{1 ztR@Np)~OhVjCcfDYr;eVfU|0nbZiMWq7`Wdc(MaJS!NjySO{fr1;S-Ndg!s1``L%Yr=8k4t+SW{8C! z^9Z!v|RON0QZGxv7ZVfmB86O z#p*hoT3XhF8~v@n4n}#Y>I#@_<>eg$%hyYLLBRb>zRO4Z@NEL-y9}{!tU>8B+WU?k zJa}n*7GF*jto7=c?7FsF);o#R!OV1S)q{q7<0x}fxhUZ#9i~_QeV(w&$52pe!Q&$V zHK*20tNvRSJ4aIMDsn*YQPm(%@h6vfPwKa>*2w5r2Wr*Zlw#bm`1?;&TrbkZeXws_AiP3z&uaN(l+{x73?2c&K2dF8T zb;mYdX<)Ak?l%muuGor>>QAYk2s{3oZ}?K*!s*RL_NOiN_g9k`zU}O`fwt4Nz=74) zjs2?gqo#qQq1uCWc(&T;16JYbb>pyJ40{IkBgXp5>h!vFWu{_#*z;SdueZ8qRV!K> z%HL&X6YJ_i#a8*KT06j1GufW8bIrdMXJB^!$*w*XkU_1!!M6w?(MH;Yx)JY13lWrOJF34TF1aYV@2FBERPG1Yc7@hbU^b=wfqvY z+E=uu3GyP^&H2u%bG=jOUUp{S+8(`~k$<8kx3yrX2sc>fhuw^g=;JBM8l zRb26F0ZVn|6*)0U)y_i3z=foHEC)V0;{hHA5(zVRVu^Bni>@Zfe zmEjEmFs5R|%$&aBUz}*jXLoHAI+xxswzq6=>0ENGIrWzfQr8?@G>)zvZ4MnEbvB!> zU(*fShcEtTylb<`l~D6y>OpnL*3wchq(|kp$X!=~m$Vw)+%N7h!oAU73XkiCe126b z=v~Zg$E3qt8z^p zJ1=c>S4)>bRqO721K>sIo`Y?9M@~rnHgy_&4!X1hg}`w!wP*xp*hTpK$wFUB`4PJi zYmas6Bdf*cv%#}Z-!(;Kcf|M}4^mRf5#c=VU%mZmzcI20-y6y4wNjgUPuEi=xcvX)Tp5Vf(U_o+8U0Gh$ z2ldOrg|Mq7Q{B7#PEoaeLs&ksITkv;$y7|JM_+S9na6{Z+NW7-2dW1@S>HSU?D=uy z1LQAPBKT*K(dH*ICz)P%o&Hnit%%!twTT&yxW6L#nx#q4fZC>v>n}PShtaGA!0~g+ zi_R9F7aPP4;Vg5-b>bMh4T3EHa^emnvDpHUtvRvDq4s_yv58{s&b_K1JYl9aSstDh zpWo->3N@d529Fa~KBG#Y{x<$7D<7L;QAvkh%$Td!r9<$}fp;WEz6K_^#Yz>@kXlw(#DhC1}iy0IzWV_^+hm7D67jGO9J{nL*H9xHZ3>d+~w0{*tUIh?Oql_yM zp|2hTwsJGpz2& z?wIm#V(5Zw!X@(QQf5B@m*~@wzMt;g^Au-J9_I0-+0Dhw_p%_a)>pIRu?BfLd(|cW zU`^>ME=)=rJy#ud@+f+usruy^-sC%WWiPz$ZR zjhk2+ztAN&eYTTp_$&uZ&=>1KfK8rQnsoIB+VP8I?j$^K#|?RKTQ2S03#9bI&yUZB z3R4~M#Smz%6>c5VSfywm#*k8@=sFa}8 z|1=_+d*6xY-o0jldPoLjTy{`VgTBb@I7u z;EWl0zIM7@a7S`G($d%U8|s;7dW}lH^Z7dc}9>z%c4|cy~=X+SbXlBegzHwddxz_mq21fhU$)X5k_2y#X$E!qI3)o zAoQXT{1E{3U<{vEk&Yon5vO@d!?IV&Vmq+*4XQMW_}@PP9F%p*VyCHqg`50-+rOD8 zN5wyzAA_-T2c)}7#?D|q@tJ1YXG_pb>p*|jO!II4Su@S?(SM&8^Zz?Fxc?h3X4ssP zH}wDL=KNnJ+)ge#KL5RKycK2;j9nAbJX>{`nc~YA(!gLVUub${`sAD0CVos^O&sP0 z^=<7e&tJj9w%*C=cjdv@vNpT4CU4^MFKC;FhC7%oWRiba{)Kf=-uP_U5*&l4$6M5~ z`(h8#df-txWDMd*e6Ov^rNyH9MvV)E9^6M55OTXJHdV}_e$>EzD+s0~j)s#DtJ&zD ztJ>P6!v2`t7vk)-)EkvEvuiP|mvzx>y~fQA558Om!ZJ)q*yG~rfi zFaQ2VN^^@p-{R0H0P+2|XI?0Bq^NmZW7&>EeZO@p;_w3EhYw33cKZ%IV%Jp1~gqsVr1dtt-%Zg#M!_bS5=k@SfHe?je&$_J$O3^}o&hCo0l zR#)#oXw?T5sh7iLzd^>Pq9tDDYI4HfV7;;3R}*tQxGrYduVv0KVmhM=*h6N~$Yo|- z%=fkPMsiVA+_8YObqw#2>{EtX_D0w`Lw?wAZ07$Z&J_C>hDDemD^QgrD5-szvdNav zYsLP|&)DN7^cdhiirw_&L}x75g`l1r5z^z%#pz&a~ciamUigHZ;JSG}gh zj35@n9D}v&BHos4jCfk73zXfHcPP!jz)hmvO{)Ye(pEvU-B>oOX2l8gfqb<58eSCL zmT{txpvl#Q^b3F8X3s_Jf#t2e4px=+q*y3la@&4tI(O+}>bj)u{M0NZ`+K?8dE-LuRp0d0rUP(h;EO z=r@SKEsQBZ@ipxEmXaAybvs+8`YTl&_Y!&#Wj5e`H z1vDyUUeN}4G@_jb9jNWbMU4aN1ndi00>(m>Yk}|9n?mJ%fb0~QWD^3i#Heey^>Z4I zXKlQ8kbw%r-M8Jt#HhVu*v!RQ;LG5PAq#b%C1_#8CTpq*g|Fj92Q5q5Yi2b}SI|CD zff$4(t<1p+khbqbfdc{?M@K|KTR@bY7M&G>Cf~U%0L7_JN8PVdu?oNl2p^X~M5-+qK4oo|4wI^V!4@x1!EMoX{z} zj+lC0|Hf?Uc7mTYR<+RO0Qb&fuY9!LdY(L6WlRV@X-=4GyHo2jmNn3adI+y0i*=gW zy-zIPBOGt$wDL99;`*ih`=sJtFnGrt1{ZG!?h#W(_CN@<_hRyJPjeDa_vFuL%TS2P z_HLlje=fhqdZ;$l&A5;7s5!#kk=2JQg2z>{JOZq+;iv>c|9pO2cttc@Kdj+)9Fo80 zuH$gqgzz2QkztElW%Pnz-B7ZLiI{pPDb~L{fktu6THMC8CC*3G2M?+>U*Yh$kA&&- zrmf094J+FM=#C%dE*yAMSQoBpOD%H!o`qyl9B!(V0>%n^rAh%ZQQ&9d{-FbN{xnzi z@a`VHOJiI6M}^`2;!`WiH4j^le}){bvBYHv^jmplmp-Ek=8QilbzLzr9fp_ID1~%0 zXfIf*a?R)27KtG+BR$`b>?VnbpnJzic&}DL3*xB$CDKZPoxxth+C|xvT8E3>+$d?x zX|s0`h-`6l{02MATH*36H%nSAsaX)wyx~AC@;DpFs5Zp{w&(-)5x!+4_4#XBh3@Cl zqSulKD}mI4+iM2L*aLlWZiUZUsf2(tt#XeKSWBKT(arH9F7iAU7+Lkw^C-}Rx|PJD zzT~1SL>Vjj1tL>Soc5emOu~WN^$AX8<>6)0kMkHx9s*0qX7=+et(TA_&8dolepRiF z-vrW9W#AiaXAH)Ks>K$fP#7Q&Hfz{46Oo8ws8z>_zo@DULXgQa33CtCj_tj`Nkpn7f=ccPrGeN_X4rB+UplCKVzTb}^ol z=2OC6HzkM^1+q_y1})*3M3pbf#{?LVEjH<_AHXY3+N-q?ubCRqZ{;k&d$m;21%~nz zIM)WpW@F_VG6ADep=CAPQz>uZyTfWyWw>%$f)f=_6W&L&P{`0n1md;PiqkOr&03jS z{8esYRIEHw$u{v}riuYT2nc&Ug4T#a5T%KxIB%{@h^m3_N0k#C|X^s3mqJaKhrZQ4IfiZ@8^1LNrVKp{M+c}9Ak4Zg1nxq%) z7Wo*tDBMUPwwrY*i%Pr?e5mD&Fs#W?92#2`9Oe!^E~O2@jui_bS(Vs156vGF=NSlP zS%y{Hfxb(X4lA!}_9(5Ha&TDo_&`BO*p!oF&3O7r&b}Fdh zk-ajNvzv9wsa_UM3XH2x7==ZzS%gAEuV(QINTN@{V9T2ACbgSKNgDRO%xu3-*K=oP z{&JW#QRSK$YAvZYfZEH>3;?;-%yG^3u*hXqTAM?4~$tQUo{c z?bk~!P>P$W`)0N2OzAtQPT=;6Sf`PfXL;EomDBx{-LUXFbV*>s=K!uN545lqF(a_q zzlilW$w0I3BPCJu8*32{-{kDm+Q}*D(R_JOyTSDhd5uvDXFIviH??u@HUDZla-h26 zcQ~pYijo6ovGot%RGCT)<_UAm43>Jt*!GNiu)xWwVdq>`!85mL+tfw$;ah6k5?8*6 zw`gj;4OBd_@G2PgjESCzj8s=BpQsj*vjrpZc=JwcL^zXKclNh>_{G32Q^jK|?D?j& ze?!`o^rq8&F3&qcHlO$WO)$caO84j!VyE?mgAF*nbl|+APddakWLs8uL5f&?Z%W#N zpIebRAf={pz3jT1553ikT9&@foi(hR1ts{yfmS)0SBLT8f$M|l9Z)EAai@dw(sQ|_ zHa4Y?4}VZI5VwHp5YP(w4h*DIy5#1Jx-sxZ*;BEdImX^A+Jqc->IYDTp+5a zHv0Afys)NksNVqB0lgvle(-8!_{=kBcM_)Axm8gdo6We5tx#vh&AwoRF{f{^F&40? z`e1!~jc2V`glWtk=l#Y-_F%fp7+hSBDDMLbeMjW6SZd@K5&S+N(|50D2lWAUyPq4m zI>v49s1GiV;0t2;#-2-W4KBUwzS6AK2fI!WzV@+L;e7w}H(xuzI;WR@b^JEjdCs^t zMMQD#Tg=lv2Tjn z5J>KJ)PfUjpL!vhtCfCQ;q2%YZ(}-xM?(z*{gG^KVFdpsyPoU|Mzcrxk@e_-<4^F% zW6_od*QMYB?B7VgS`=yq_I%CX0L(+@rQ@56O6|a|-(>O^95Hq@Gf94O{4n}RN{&yB z3<4(kp40@q}oJH8k4q36MIG-?ZRVh z;^TFXXBuQ9>iM4TI_X%}Y(HRTgHvO2&*O_P5V_~XPkJl4M@uO5m&Cuz!s1@EDQ9-1 z+xNY5HK@CI?t(sdul8|A=vn0YkQu1Xuer}zuT~6fGlr*}+XkM@pB-BZWE|DT`|A7h z$M{g)$*~hL&&P_s!|3pwepLqyD;zkb^PJ3ut|5~MqEXk8Oj+A3{CcM02hJy0>t=$%0K(Sde(nZK%rpT5a? zR*f3KVERN=^%B~cS_j5#6o65*s>P)6w73=PxJ}=RN7zlQ&Wm?nMQWWQIbjY@-_svG27W`mGJ=q^s3v+P5sIECIA@e-*m-*v{7z7dzguZrytf6? zJhgHPAJLD9AoNzFJQuEwxgwelM4sos^SL{%hRiRMbd-cAK7xVe1>hdcC@?`4w>WcqEm;B=C^?~LJ=XA77 zxJ7VYB4@c&D0?=MT-EhkgE|>Z%53 z=+$B*Id(0#v#V!D&SrLj-_LhbN4c&qKGOH3^sw@A%g$={V>!}*4!2wpTu+jUKOnjK znU8%Zr@PoyVP@RYwX)fF=t=1zwkX}12OsH3_V$w}tv%Ul~Ss5|^VrO&lR=5^^?tnIMA7~8_yZUwgQgMua%Kw=F7?dtL#qq>SfJ}!A}L6){; zj4gZ}dYp&fyWQBPbyrMkhqVP<@nP>8z=L?_hw4&k`32#y3O{Lk+sFm>KXZE$?thzj z<@ImC1O`qxOz6FEw&4MR@Vtcc{~vBDrN>KeQe_|w1I2QmedOP-@j?54E^g(jjz}%; z@@A#tdEv{VNJRF|k$a#wX#}e^+sf@V5$3h!S3hM_;2&Elu7A_KdvxuQA46ghpIkZj z%s_Q(4p+OL>Dw|?Po7L0hsnAAw6v~Z&knuAoCS;9$KY_RZaoscDlm1@ ztydIxwV|yXyreb@4d`7ahd!^p)XzKfkCf~CMBSECn%mfj_<$&86{C;w4KgFgYlfRH zDO*$}b@SgFi|TDga9zmh+YL5xD0N3G+a^Gif6=k~UGU4SF^Lz^vFQo5a6nDcnF^%$%Qh(|$ZnG$DDV$f{VHPI!n8V%?VYy( zuhKZfnvXB>HT1a5t3rJC+xRTbq0|v%s^y$gP7%p`MYl{J@P;z&F^Gp(lKG1P%BoPJuoEPs&9eBLk+*_t3X@I zPqSBKaSJPzFQCd5@yiU*3kKY~FK{{D-2!6pqOwhv#J!@;qJv~7v!D?5E0mk8LU~fY^|C)_G%aN;qg~ zCE0gl$TFg|7SH<9(A=$jINv*e_pncCW-CIkqPo^vW_OyaG;v(Zg>xeRD7(a0n(s{c z2bO#T_zwCOZCd76lBTWpS?B}g(KI4d{Z=fl?QW)c7(q6-fv;18%%(_53^9B$o z&Hp7~|Gqf3h_FxWx={Fs2=2^Vr^UbT$7v}w9oQzwllm-}d6OONiMO<6WV$nT;VRS8 z8LbktkZ66<+M|RXQ!lKFSD938qP)2$SDsj%$Z%g$w7@#)GX{urh}kc9hPUV)oEQ|; zKH+G&^GMk8rkYhN)`WpX>XyF0nC*G?;vouN-Dr%+tJnF6CtV)k;Fn1hzB4(K1}C50&Q znCPA2B|Cd#BnWv?g2m{#${Sk=8y9IM2})Z;Iweqc%P1DS2F^&7%ZvdAzVeR;3F9@D zO>qQ)6NS0k>gzSiV_aD=70BMYlUP{4@DO<6PI>vmP!OT0_DX)Ss=~1#Cl?u4V3Tq}KRu_hBS1;hmN;&k zvg|HSy*+6!cP}1)*zL6Q1UW+D3UHz6$fiUJRz>Lqy)rIive3pSR0j+Bq6RAM}X}NN(K*U zVSjLvbLm+{hzMuUDE}U145|uGa(oRWnkdyqc33p6^>{t9y^m+_RY|U)U$uCu8e$su zB1|4*R1e~Q%jZ^nw-VN@PNa-+yb8cYa~qGm8(8Fz0(pt|6_v>B2(yI~DMb!YeNby+ z495taf)WaN07?SrEs*(SfaXVH%qBBv0Rpp^c#1p0;*8NtV$fHmbxp-yS|JlKLhdf8 zvH}E3uiQ^lU#v6M$|9LkjKIDI$nR~9q1?StY=nf)s22jVxXKy;lMI?5ztSiJ>2_4~ zs){Na^pe?bXe=`qC=pVES&8(LPO4WOZ3JyNGfhQ#fjuL}6+O(^j3M6CEjIwM&g`+W zal=>MJh~UKa-|m$jAXktXl#^?0O7Ibh)RsI-a$Fu4s30VRrwHj4UBIA{WYq&Jdngr zBu&t+Hfncp%;W^ypeH3mH8QN1!PVu8wgzD)cOcU!KT4Zt87IdYD}xBcs6_ne z7HHy8%?N@DhLkbo<~@XsBPH+rjLBz^#Q}hf258`t&kF6YAcb%5B?7zwQD!x(=^Ds5 z5bGHk1Rsn-gpaF_(k$Sr44|c3IB8s!8FYpo06{3hW>AAXr2^YK(JmdNL2yw3q}T{r zhHxylWEcSV`awyZDEARW$Ye8c4s5haP5DIyL+YQuc zpaOdhi0~;Osx|?z@1O_-UP+b~|Ngew3a2%0@UjMmC;Wmg7g=UJZm?P&J6R}FXG^$8&_12{5aR-qn+i`){`be~%sNngx} z21VfHxat=S4^gr~x2=*0U}%iW1O=CXft!ZGs#CxSYcN1baIg)Ah2b0nONGRQX$u2c z^2{h?9~90o>mye1RisB*3Q|`&*#LO00VHcDhHWCxA;ZIES%G`c3{|J08i`6a(NQA9 z8+jKkNU*&vn$I*FQh>5e^iMEt4E-K5npNYO8t_qy2(ZZHV^x#bL9%Af0A^%*5NiSn z3wqT6Wg~6Kuj!(Zs4_W$AP#4(49{NPzW+h)1@T*s!C2IOm06}?FnmB0)?ViKiOwsY zJpW=Oxl5VVQO(k1^vil8CYbfIPo^w;W|ENb&{M+7cYs}-p_wPq1P!%Dx&e5W_9*TxjOMstgAYszdHtpWYYF}@a$iWbxf%XUmK?#)w|W4)GCb!lUOeWLw%|p&jQ`Q=jS1R0*k^b=`pF1Qg8hNbKcE6 zPV>K4_!ij;0$I(7_i1D`hx*f&w@EGeNK6Sfx!SV%)-z`&kQv zNbDU`8vz9}$}ToqH6U<}^n$?hp!+E!NMjpB^}>?&HPk}Yl){L&mcoxuA)IB|W`Fng z143#EJ<$?LA7*>9rARWmEi|vAtJ}_YLn%nQEdCf+xAuRgR`mYw2=)b-%X^)z6n8I*RaY6driAOwbRh}@%OZC6EAYM73#JHZm>BsgQxhhUS zF)dD}4y{Cl7n4`H-->OaucWN6+n4RLc+g82hefzy(yW-4$^%C=W!Q(aaL({U*?}{J8W{ks(cv8jOfmTZ8cZ9%RFG)+Q)}HUqW|H z{;Eg*&>#C8YND*KigVna>}1;-v>Mg9eImZZ-}UT+B@p&o!>$9i<0HEnLj(7y8&&V3 zmo2={c-i+l270mZx^~dDdA4;D0Hdboo^rQQQvE63*s*7uXQQSiBI3i^@>%^mBK@Fa zo$FdQ$G=#d?s}c>*E-Qj^g6w%`j^Fo)<(UECUafXz1KuFXo8xh*{0kFoKTaxZFTWS zD0SO9M|NyHGxrj_Ysi`Udj-_B+Sd*~r@2xGxtcv{mao|;zL2$Mxi#ZQQXh%YHozpr zU)ZOW)ARz&@8d!SzwyZHc8lM*MxW<#$SM*?mz&yV)F1I?b0BE;2b>s^0sD{RmJ6j@-r?Uko9*R~$BVuwyEUoB|hnUWAi*f|;GnhkN+Md7?^0!(ZcUocIVBta( zG=1v+>c0252-VTc*D;n`-pL{T@eAZAu-ANne>gbWvP-`9+}PM=7el z2&CCPa-m)$=yfn2yWZp94tL%?sK>oqQoqLZ7oi?_mI2C;HKM#CneL~QCe55NH!j;FO$;DHPQ^6i8Y?hwWNAUxQYklcMr}ql+9UW85Ap)h_kMTNc!SuD ze1~W|=qdQyBs0^;*DoWk!^qW%<}0+D@1#~;hlhD6%`nRI zd$qlJLffmX7puR{%8QJfZ{YBbi^s2WR7`75w{lC+1w3Y|gVH$cG^0#aN=i%x`uV^N z_nbP)NH3>Hncy^U3S1h`CPo>hS%pIMrZa8dDw|7fH6}5T6$z+LPX{%CMLPQ^*1v+U z%MJ;Q4;w8C6OQ(7;q5RS*-Rr*PtTw(3Aq%yk9X#|FJ9|8^%}Q;0K(G_phXa|*M?8C z5$Y>DzgavfMz;2NJfdas;&SZK=W?xqM`wPfosxgMW)Jg6fA9d>MT^(O*;d!bmg;*y z7SEq__21{e7I+89st&vVa_aT*kCFZ1*FNe3PHsN^iW= z`0?~qck|E3+19GF!ngE&<4hJ{dk3sS$@9-$%6gk>`QEbqfY5IV+5~eLiV6o;w{CTMB53JP(rI#CW`^Gxub-5Ke)uiK}9a@p~^qxC^ zj{|#)YBiK6YIXJFtqZwNW}!QG?@|E%2HNet7Z1NL!2Cgc^3-W490(tp_f;w;|5J9k zDN*_GR<>D9|DpQMx3czW^+W2;7qiz?^$E|z)Q6l>e|g8N)w{VRNrL2ubu^x)r^TUY zmAWj7pUXNg;%V_2ehG*bkOvOK{<`7&Nz zH6c~xpJ2S4s0@8V!}W%F8(qWQb`0o3d_)kg@{m(&$axgR;_Ds5)ES&#uPK zSm`G-tC8F>&ukQX(s#?I)HW#IPqh> z^fULivx>HN(-##6v>vK*nbL^3dRTv(@${O@zeudz?S6gapcF4gW)ZVdLdoGdj zjH@H!eW&<&dDkZ8%2>2~ph_)A#M-Zv>O|=KetXv#**yat(U?Hj#5&UV$Q@i>_r0OD zAbB(6jnJJ`4?CmP=7yO&OO%V>HSFf9ved4-s;9ZBDXERxOF7)iePeQyGQi3y!^Q=s z;0z4K7Vz$V4!!BvydlXSQ`wAa&opWy8FXsxM}Ido;V%U_lGv-g0yQ>HThLMYh?q&O z9 zZMx4ujdyVUo_5NaIKo$xRf=1*x|MKMFKuPVsH!4{T+D>AZYDhky#ed2&>@=~>JfDx zr}H8AtXyx*AoXeaYHq`iYgN_vZRA|k_`HSJYQ}_`GQ)MM>$r{h3})4Din8t<>#yIT z9N2J5UmP=T6r+r9mh05~RE}H2={>YaS0modCNWnKgKc8a@X#2OF1VUwad9RWILt6f zOyy7=eu_eEZT!8{s;EwJ#?{I`-gz6ZIh|1!FmZ>WJrfHD7AX}ygMY^ucAD6f_UqCW zMbKVW!vo^qc~)_IMdvJ%?9am~{H>M#36knm$z{@oz$X9CRDq;J#a`h-abRrlpzdbW zPBASZK&p4{dPzom81(nJT@JKI0>VLUR7;O(nKyE-yh<&wPvtL1r9CRt-I|kQ!am79 zCzP`z%o>(W_XazLcr~v*g$s;-S2z?^`~}YMrr2sZOEH!qD~vdoy{kqXmayy?((gDR zX4&u3X*X^Ze$%<(@PzKo@yaVKsl0+j!x>h#KtOq-AmSZNDeV$eX1rbk6#cA8QqD^a z?4%qrjLPhQLh#mU3Q|~2M9vbW?&lSmX1iFog_%;_ViNszC@V0-2HJcZ-JaQJq&2yt zU0*Ljj-qgS&{&R3QCja3)dAHeAqA1pzg${8ga#sxSah?%{a<_fYoc3)PLWdWWfiM+ z+>tqH_vx5~=>u~9aqDhIzo>Rd1?do!5yr`KDRhLZlN&#NR8u2+ee9!lv;vc|stb^4jMRrKnXeN|6R?kYacq2c+$4`y~p(`EXtY){QCV@G%O?I_rO zE0W)B?xD78T7%M4y!G6e1U0I%)ZhUz48!q#vUy1hxqOXbyjLD|^>ChcDR8=|{l@o& zOKZPUU0`|-+?U0rKvgVnDbk&ZR`nwLCiPe@{Mtvcw#<(=cX1sbL!cMA(11a2x%S5q z%S@U54yN{s`-);WAi~_c8tq{mr-d>a!KtuVZb5ZoV@&Ik{zhxi@*OekjN&Fvsyk0_ zMp9xLhnfFkaV{qHYcd*kGcDEp%UJH}>eRdEc3$yE7jaWv;CZ&fduoxLOmI;x0&C88 zxSi2@3XquaW6{+s|Crw2?@G1{O8unXlngA5{3lRzjJvT2B)BYVo92olB&2^CP^O8Q z7D)_<9+^_s18%@;1sm`KiBTvKsH-YD(1E4R`WZ|QVnGVt(uJfDxrLV$TqoG-4d+Na z%F8Z#Qp#2;g;p9-CBri_!S!s0h zv36FT$kmL?+}n(?L!GoI?ob=YFyiiEZ0LBPA)C0?-^G#-8i!Zg%TAZ(?#E&-D6ReHs^ z5p-<2`5Y^y+-2MC854vq;%b$y3L=?=toxuCM^{xcg^vJ42LxwOR6uxt?>U_ekSc~@ zaATR^xKY`$3X=3MD~6!4=C524+64?_hrDWw%1Nja7P+)px7gUG(n%dH{V_!_aDhaP zkvOvnwkSxBt4LT7Xii4Ojz;!b2cU8$ugsWKx(QpeOe%~8QBvauo_^bIbR6?RULq*)#?)vkP;b)04dgN<9&NLDT**O7); zk~Pxng?b}W3C@;R6bKfO&6JW%D6trB+h>!ZSp9Zxf(BHYND2mU5WqA<@>J+qk1Mg*=s(34S zA^4}af-eI!1rbjN8^NjFvJt>gr%L+JBoknHl7oS6r>c@aWu1O+$Jbw;~p8F%m67v&%(%Km2oMWL0~~8 zLi2EDlHiGfqF1OCTYir>WJsKl^;Ibms$0Pb6^UDZ`C<1d#bhRJ6sM9zp6BQq35PWS z?swZQX0kcOJ<8IkqIgZfrOPY#(k$5rKhkI1cCtaLG1bB73@3V(NzrW3WO|f61Gx}p z;6z6mA+8#mHGZZLzx=c%8$cIzu)exLMmquQ`&rvIxXY=8s=h0bJaQ}oZ}Iq_F|SlXDQ zUlFSRRpm$QBS}b47VJ1VWLFmjdZ;?fbUto9UE;4|U(_C;SX5O=aCD#b_8q3L_X`$w zHv_M*Y?cM-T^`C+YF6d}`NTQ7+qzi@7*fyj!&hVKa(}XMQJVSW*XPkd?}ji_bxZv% z_XL3f?%vOPcS@c_l_Bt#U0Lg#7`Q62JNc7XU7`ils=(73aB$RTSq>?dIcAb8sqgE) zC$ug#-0AKpA3KU;&7poMbDmrjSM^Yv3lTtCxd1k1UT4+!DSm}^o^guZa+0--tBF@> zT1S^@kG|clKNc`Y^Ywe~JIr6?dU$j=R6iDaL7K@f{347jb4fnucH!k{l1SExIc5?;-mL#-0jTE6X&_z&j zwV|nI3#A`1tYb)PFJ6ORVGV2W1Z?EaLYpHGo60*3cHn2gTa*UIk*yYz3k+NMeN^bK z?>>5|dMiak6}em3_H_(>gmoq6YEJ7H@uhpiRI9R-^L&d_(i?_nl=O7&;DuvA(cMyW z^c%@=^|QOicPF#VH<`r$acHi3w{vQIDCfqBp>cQaVP<#a>FlQ5FD>8Pc*OneS&1O+v)Vxzc$By#8Im)o3lwMXWFFf`&Du`x2tI>t*XDITltl2R{bWO zxFT#)X7=(RYILo8<|G2yS?ksZ_M%Sb!}QaEsmptk(+KA80OifMu`xBq_@3G6W_@js zM_YjuzJ&iNwsELU5s{m-MXIX8dboajcAY*bc3!%lrW)kVx%&&wb2-Zeq2{Xe+q0!$ z6Vr6CF7U8+HTE%Gy>4tpd}p(UbL)!#Af5BCo1RmCi_hT`^M!M{cUQRWHRp0I-D@AK z`K@#=bYi~#SK+@GlR4V?gbu*uX*cdg~t?yF<1 zm#Wnhl=VaL(bnP*={!|BaV<;NG3(}6K;-l&zc#hM{Pv${I4m`xdX0UmW$P=&JCTXf5CWCdz+uETDEfs+sC`=yBvwT zkMvY6Kf-;^RsS)={c__$*Imq8#RgZynypXcC~|LXn`v#?E(g^o zt1eaph4`ea-O)+Eh_1bp4Y)yOO}`8Io{`;F-_x;aX^LL*e9t#BGt8qceSj(rs2PK8 zPBpCO>#|#L16;C63fqrU10AhJAPXMt*bkK71u>6*8uV)Og-OmqCiN@QGyJSBI6P8% zlEV$4P#VIQd0vd6cG{NNF3OwLCc&@urR&^;X00#c7eM&+*H}Y(0k;;5HP!_T*XKRo z1_YTWe8)Syo8kA%LcrLn&qs}Cbk&!Yw2(oz`&M4u5o;6;F`lq;l8NOtj^z;=-)M5} zp|PCJzJ>>p-OX!q(jv)G$m}XxioEt-AkVi9>UB&b&qT#c0XYX{%{9;O$!bw#R{0?{ zM+dGJ_nG zx^)aY&eLqjK^vdn)b{w&F%NH-KDrYDB;8Cmf+B{ZIJ6f&E;Sju0>!8Kc4x7=Kr&&6F1?ewoOO1rci4|Um*C2G}}73j8HHqISYXCkMld1 zrSb@95=_T_!Jp8N{92tmep(f-VfmzX;&4w8h_&enszpDbpVB^Lm=-vaA8KLTQa+IR zqsBNE)obH=S9_1N5|u~TZcnJ#fxd(eBs)KHcve?cORsI*z`62NQ$2qGByu-J3oon#=3HbC<>mA)GMI{Jn@t~ORmU@s0{#t~(&-a2^A!e|Fh{4t#T8xiD5 zm$HX?#8U8N=3^S9H)81I3ApEa&aMm!01vBL&&+F1(3N~2t*PX81T z?ViL+`MmuU^C6PqIB3g85O_HN`)9g**Xg?;g%Im;Sb~f6rtNPthEayGaf%wV0|=}r z0P*JexS@rkK&u9wQU`sK#Kw^ZqvL7}L0*<1Y7i+ug(zQT2vlZBGx-YqN`R=GZH9s9 z$)+h08U!261p)JCctU9x%w;~_ClJH5$;;l}lln{G5mb6UFkDUuTY$j8vt|n7R8uAW z2BIGxr?~*oeR&@U&8m~f8x6HL#!3vc$b>Tdz9XPS;x#{ zVVh$e17d^hv{=&i>VErtpXpvhmE1>lYpYrRkTRyJ7xr+ME(n(|>~6aVv+sR9Kc?>& zpD3(;PTxD;T=*tvMe9!tAJB6O_;>YQt?F|58_JSgR)2=Sp)6>3Cw^AC8-5br2~EnA zznh0TGATH6?fe_B`$*{aRD2~)R@?(7_x1Nr-zr_P%2X+Iay=gq0h)Z{E8r6S=>yaV zw3ru|-L)jq8A))rS`WH9u2R?7GCSUst-2~{AG_F0G^BOSYzme2t`1&M_NtCkI`<95 zNj9QaW%6xh59FdX;Dh%)KiFAgC4*tDqm2onU8R0VDhX_ms!e@yeO{cOE$!Wm@0?NS z=6s!Ey$r>Qoisg1^{G__ASQ5eCBjR#&$X`!$2)*gT-LS6XxlA<5_h|8S*&;WK217e zv_&J?_w!;)b>@n=sK$oZ>K!K6RM7~m*~E0&1oU0qE%TdZ*;HPFa*I1P)wWAB5lPn- zz9c}s_Vr_$MkzI8dZ?F)=Y&x%C3~=qy(pn_iMNSuR5r=;m@&q4?E=BYg+yNCO0^2!V(8>y=Cz0mTxT?{1FC!#7Cmo*CoRuU3WZCQ(UVk<}YLK&&6 z$fE~t)rsK~wV6K3VX!8X4pT4Qr{UF_7+kB7QBe{HG$@4JC4GrbtXeD8ss@=2&9|5F zoe?&;p}@%1lX-U>P zOidyGOuJSqEX$K2(x;_!iiKkgHM4@=F%E1!Tr)zKDITZ%D6|r2m;2-A#aboT_qMjG zYu1tv`V@XQ5;RWLRfG8M7h>|GSF3PTEm=L=R{L8eDm6vTCJ);$G2gFGEr#Up7cR>M zJ=M+z?&6z=eU?X<_gwO~$Ho0x8*cvR)Gig|x)O{1x2=(L*PNANvz2Kfi8CKRz}xF2;USIb|it$sgLe^nBuPvAwxxKGq+zp}hFFwxe^ zzrl6v5_0U}Y35CK3uDm@fhDoMh2D>1>0YfX@;8Bm!S0&ka$3fCkRJ+5L-Hnc0B@cb z-<4_)=tqRy+F6&#Uh9_bDI1?OKOM^zO+< zazwD7@YqX^;1E9-^L))F@Qh(S6F2e%5V?IEm|Uc7R9N$BMg|HiV{gA~Hi+z~@SYFg zSa^;CN6o;A^)X4(%(pA7d0v*F(oT6%^pXLD;7$;1LaAB|jmeD7P@|!o%u{JjGAIhI z?bAzAoSx*&{5-e3I~0#`F!t*NQB9!i*+^%WVa3#(WPsFeE@T?kvC%(WB;VFvKwETZ zgiZHrL2V2RElRMj$-d($WfFl6l8fa!7#juSkDHHQm@OyD2*hFuk!7Q-Wos0 zi~c(x@2xS9d7G-1d9z1akDJluvwP`jcGUnh1*(U|wT3NPt()bUz6m-MVo4T4f)3u0 zVwBSm3P}4A_TDCnUP^+LBaCs%Kzo4=#MrX(_N?3?F-D0WmJn2)_SbNfWB^HAR;8gL zQoG=UVHJA#3Z9Gt)W!hPq$3F#gV);r77;+vB8e?}HEVR5WWGsO2#cvCP(E2Pjl=UJ z<~2y-W~JD{^6gOFRBIFI4P^AG2-&Hr5+KO45V8<;+5kXvq*>%xi8hH82V>{8hWKrw zO(}|mx~WYeN`wmP@E|&Ichi4J%f{=8QWN5U3QrEuT~)39Vq*kAQY?YgHUU6KU=<8m+2HaJ;91bi2?5J0QoDG?bd zCUm<^h{{5vDGQgPa+nn_@bav|W^B}BaxDy#C{@4n^QJf_jPpADtSD!~U^QR|6QJu= zZS?A-0^jZzr~!5W6Cx(YSxjT`Oox}IRfB$pR+L%H^B0W77SSd#225Z8EGvuy4GJrl z;LJdw#9z5T__#uD16P&og3)V2|IZgH5Hdm3Gb$_(T+9g?z+SjvdNDZbdPdR-O8NrB zN`8(svpNZP&V;PWZ)0pAq)|pjaACpFOaP)4s%Q!^k2o7H- zYTyRf6oNSe8yDdV8wn=>G1%V)fQ}D1ZRK#|*eEqq3Um?Dl*t^Eh`5aUMF6phege46 z*oZ+#NHm#QY;YI^Tc4<6eLZu%paxM+8U_RjXUfRjj-j5jP&r13?%+R?LBZnZPp@iG z5E8t+=#vG5L!HmZ&^mQdK;mgCrf3JGa_x9vYz#jbWI-f(i{w9>#NBEiUduGsK4e%6 z{2qn2?1)%qtMAFIWk8C_@xDGctWvR#s%h-Jf@Kflca)g@Se2o3A`LK2&r|j6m`ZIQ zR{}$dE(?>isI75B47SJ^8W)e;aeQ)S<4bavGG5f3Ce6#2_zq>|z&E@`(ADPPUp!&SOPKALXBFL=fn}Ni|z9o4jDSB0Sd3*>Yt)xELV0dWkmYFu-0AxygJ9}CT_2I z^%V*g0ZMTQ>`&Afar>>VpAMp zb}9b`s&`ZU4Y5agC1$S|P1}}eZe7+bH;vbEUy0P8Vgnx!I`x*}Qv7P~qg&PYs0bA( zYY#;zS6S<;6J760gS|QZ%emIxQrFAbI<3xsxArlcyXT3Kfc{W9G&tUJti_+!B6Mx8 z6nb=Pt@gyA{S9&dY?IzBdM0%HOjm-L?e2kEZ=C;EKSYnS{_$9F!TNRd{mdecKvx-` zcWxaHqD6Xlwf@h|HCGD8^Ll|-xJ(f>AkMXMyuE5G#R>4}YT#(ezJ~=2=yT|#@{(|n zsn0vB?o-rcpSCx9#C>e5(buL>YFAA!b!c~zepY;E*CDt6&THdxSIt(Bc;KZr?b49A zoTa;J9z?5?X}8v??aig9o^5+X3QSFHh|Ky<-?<@jzTfe{lsNgV`gV~Tf$_O@s4xAB zc*@tOiVxy-#d&^{)}yV+0ro-aU;(phKEJv{@05G0ex1C=!iO($Uy+X;hw-WreLo-U zD{#V2x1?s@ydrlW z>*bRxG7dtvxBZPlBSEfLv%QoIn2^N+@46>vc+olqALUmVLxtqpCKFalVHYVwuw9E+Vkuh@A5eO+Sp_v! zO>5V-=xiViPmLN9(t|U7x}SV1{ev8D9M+9?qY!nH!jJytFE;L?dO0q>h}vlSZTciW;oGK)-V@JshF>*68D%z2X|ZRk(cL7+y2} zkJ(4=WnR>O1zb$OZ)L{%UA^1Cb>-|4kXG$oi8-Ikq%Y&4$4{4M#(K6M@&{+y*7;tW zIg#t>{m>Ixli933a@+uc4)}I)b-<≤vJ|BJcj*`C1i6V3yN?&y?%W+{}?fB62L zJr=FlzE1q`5vANCFIQ8WmEb2y3$w8>{B&{xlQ~+Q<3(s*l|UZAdn(TbJ+j ztj-3D&UL*XM#SI>0|eDq2Uld+#0Jl1`M~g3b7W>kP7A!9RtpaM?ZSbh5S-a=sXR2` zF|EMUS^#orTwoyttUODAQfjanAj%>tWwzk)1Ywp6`THC^eh~EX^BloB_1z+LJ1Re# z4Jr+xm;@*xv0gq?J_y@_tpMx@kt=N63xBAUzz>3gIOZ!6lFk`!5J_Puy)r=rLZnUb z0={Vr><+>vScio#z&+tt(+A|!hhURMJaNY;<@1J`( z{0#-zo%6>lIXPNGQWNoT6JiH_7KoA~9M$;bv6EIh|F8F5vC<3ab%QkS z(Z_|F1)p2nF-BQ+d{LarnLKx%Dw*zHs={5mHbSk2S@C7DGDFQU>q%S7;(aUHcw(&g z9@NEJ#;8r{2(^~!`Yv1BFLvp4EtS`w(t9Clxm-IWnsB!`rVY>MK;M6OWw?J|z1Hz8 ztTI#@qYkW@6G2>3*~fnYZ{Edbe#^RXS>8-3|Sl zH4FBPHDEPl`suM&>Tz7_Sfopey!AAfd%yaE*7B3U`;@1-)7nE{7GJ-U-Gw69VIM-2 zeOXpMPj}cS<)HFAO1sjwn7bwTb+HdHndMn6Fefewv!ZXBY3Ei49EM-tUe!3*SRJEN zkw!mA&;_HljrTD^?VC!iHY=`^+vO1@a9KSV%kRUIow)zGR&f;~NnX z@1?8^_XDn-^$qe5)`CK7Hs2sa$-`6*AeEB$PctckUELT6kK$y0s(w1nwuJ7q|bHG>{isUGCOdR_(J$}o(|Bo&5r z8j~hsuP!7I+a4p%pi8L|GvjuW6F$fiX4-o>$iu3`YDbJ+EoTE{NZ-?cYPy6&tJ2zR zsapxhVRyYeJMK+8aqL83;=gf?yvK_`Ak8LwPM@3r-mU z)H)hIphwk4mO-ZdypJyk?E=5O4e4zCyQl9y-u^{dsA?Zl$j z_RmJo$Rl)fCZ-LmkNXxAw1>5~PmkbzEZ4%kGRaH}ho#4>rpHyfKOgu#ww|j|W*mY3 zg(n1ipH}SA--qnzjwkp7%$!pSrrlYY8%oL->&FQihA7tJE(wNgZPt z=*yfBbnwGFCarcPqI)G}pR~{7Sdp337<(vpIW^utdO48mdu8a_``Hgf$6CQM7h0A( zYM+)L;?Evjm%4<9*kw>eg%r8*jyhiZinZg1Dz!0qk~?)mTz0X=u5e=dIGUPd{%e#K zdw^2rJbbP>8*SDn=;2rKN^YC*6U82V)bZy34LJEDd$enG`AK#|^o_6XQKug`l-}F< zGIapYDO+Rv@r%;D+LL@9R2oA5H~U>sf-oAwh45ZJINkBIc&f}T+Rw&VrE3?zuRoTW zK_kL5?`Xf17FF)u(t@i%C8n8w(DhyN9G)7K(O2Dz%IBzaS@ig#+J~NG)UR$^#8apj zibl;e*FNReBGR_mJ(eBcPLO_yZNYEgR3U;|C6A*{_UjCK7oCc#3%uy!OY)9)i@zB= zhyGFhwwyVtWb%_E+Kyk$uDFYIk3;|GnvO@*1cqrmyV2 zd!H;y$V~cXu|>M0UvPS4o2ZpQ*9Fa$pL z2yWS@-k)nwHj*@uMSWt9k8`vU*olM)a+gkt85C7X3gx8knAPCT0W? zrC_P?(ydPdzK$dJNFAX3>K&*1IndTRB(XA@8I=^yTn0$Mg@A(wL`GYT_mU+L9uOpF z-{5*^tRtK~gmpEh0Wq8BwHyL)EG}t!o+s2eR_{138v74j)r=ETqjBf$1)C{R#wk>A zW;j7WdW#`0FaPGBY}_+QzM@4-?W`TkKy6tscZX;YJXFLtEsBdgfcu3~(M5uTAeTq` z4z?RRHG8-;pz;|vlA3Xo^Z*Y)DrVl+NF`B{5zm1l(a0_$bR7+|O{S?7SWpu&q6Z?oj8Nf+agJ`qv|Z(~bh{b% zp#=UHl$EtcQWHDcaj1ZBKtct83iXhn6*Qa4rMr+PTn^^P6UXY?B~ZK>oIOsDPnHw2 za-w~5Ut@w2-e70@TTY1+*G4@5?dV@97@(#~U;u$DT>Q8Oeh8=;0BbP99T%@hkT7P3 zA((JLGSl@*w;2f=FF>FQ-$Gmppr;PeuBH+oH@a!TW^x+M;9O?_rSmc>Y|Bg9$SnfM z6qqzv0gjgyd2nX{XF1p-Y=n=)UTwSz@2U$!iRd4uiB86>T!3Yh+wAcu{3d zn?$M(KuFC|iw(%Lk7IxpmHq7i_39zs=q5ym%b@Oe9t~KH*Lf`7$v(Rgz+4d9GK6wG z6`Y$Q5#(5G!36Mf43-Y4mlvGpD`We^Lg7zy3Ql!L4VA0f`GTt8Ae73tA0o zl~$qZy}}6X!2LA}R)e6vqE2Ft(Br6Af9f&QsIz?_LHJEJ65A-??a3Gu9Z*+}kR$|G z%PYrpJ_UAx`&Z@wV-_m50#Y~82yi8e^JHAV1yETc$f-$#4T0DIm{rsGI2JUco}Ez6 zFrfv!@i?IoE*K0sgMo^Tjz**s|F+PL1ZhCCsRkurvLM9`zjkg5vdM5P?wbrob0x;O&K z!tNa$Nx*La?Fo5SC4tY2d(MfY)4}Yy> zQjp@LSQ9M36QU@H!!;x{R)WI->b;N%7*~yPgGfwZ1oRLhV~Pu;u){!1DA^9pI4YP= zvtT_wctNe?3+|l}#V>wSGRbzH->#I*u%$i;u2DOvAj*~6PI=PF=##qW!*F+4!H&Fb zW3(C0(|j5FYoll_SIr|lslmA5&>(oHl{17SjUSTWvJk^8K#sy%80Ue4=iOM0t0d6+ zMs?jP3`N~ARKOV%Y`Nvq1>UTcAOnENV2Nb$fauUgp7i(2&=>XUWkCN04T_D#jY0PBDklc!hTr=h`dQ~!1F1t zt%^q_7}*jCJ&24powRAtagKsFLoWqRK_hGhg|M2~Px!xThExE7pVL5?e@3+A7#6dE z8IX^Wx@p;&>yU=C;7lGuVq5gjsyJdzv>kbbw+0X6P{ z>pqx4QO)Lne5foe0WE2f#}gp%QI^(+(?}WC$bRT}@r`Op&=OYw05E;~gpiu#(@w5U z3%$ye`>e&8hx@xPtE>J-b*fQSe7j^gOWgsje3-BR+KRT7g5ud+$NJz?!Sk}SPw40` z3}}%pss=KDaBzVv3WRY-Oftt}9ojr!!sgvlzuF;8%SLHrP=m1>e%0Gpl{90>*rMsd zJ5*h=fo~TnaN}UXG69%2F9>_}%`+wjo;O+GMbE{d_tG<8J@9qb^~!9QuKBV^7&X?Fp3k|FolE@25M8 zJ_SlP>@n#IW}`+Idtaw<{alVUTie^1pdZem+JorC;8`07w*3L=d2Fv z{w(v?f8G|(TuA(xTlnC@pL-HF&2ar1-ZaBaGkkV4-}Hu?-f+_!KC{40Z}`j>H`|7r z-f+_!KC{40Z}`j>H`|7r-f+_!KC{40Z}`j>H`|7r-f+_!KC{40Z}`j>H`|7r-f+_! zKC{40Z}`j>H`|7r-f+_!KC{40Z}`j>H`|7r-f+_!KC{68lHMRR7WnJ0!tu(KzVZHp zm+m_9(wZYLQP!7gtoa(&ny1)G)c>^xI+@=znaWxPF0atQ$BJ_xb!mn?0%}aD8Lzz7Dp0i%mQz;O z&{-NZznYZ#HoA#wqPU}!_3JDsPg|}1AVBYBAIiMJLJ|Q?nCZi23vHpVPhs9`M2O?| zo&NMNfCyWe8euvv94|B;&u>cSkBqbFm)Ob#YuWNZ=emAg5jJ`K1-1WHv+LLec1l@b zQz>PJ-Vgs=GqfejjnD_UUST*a4Abm30nD>SAMPs;heDt#P?;EvE5`WKL<4OuuH;vJdw>F5%Nlwrmc!%fQtrs%a!SdQLfviR=-9mR`?I*TX0^> zstwKtu7QKRBmQDx7rm{}n(r;xw_Sh9+te-k7VQnT_J)C&ih=Hv?92@dK?z9n^-Fc~ z;O(E<=!S_3mFajvP>&yNKmJ{?4H!vLDu)Ba#sA(w$u_0xDp+Spq1M1s`ElnIln@z% zYsGQ-qhr=QOvj`+*>_NF-_4ez-{TcD! z8HeeU9%26EJr&!)fAm57KYePN&)nyDV?kBZc{cssESLqnC#`S_2e;V7|L8ue*&7Qk zDPv$8wux;TcfuVtMN{s`stDUd*i6!J4a8q9|r@yG@ed@eZZd$ z6BPK)4L`hbYk}v$hyBzV7HaFTd2pVwEI3aa4Hj}vS*NUR*6&ioaHnr;9G1dxvGo6! zy|)c-_ng`h0@rL zrLeO~uX_enC{P8G5(Su6AlY#Q2(lr`i6vT{RXll~5n$L2yOqRZXpiFcPKz?TS59ZT zO`6Flvw3><6evngdgsSJy+8Jciy(>=LGj|$Ip=-PdvDzXfj%&~l6NrfC4n92wrLFK zu}Q@Tp0WN*?>VDF;@K*mjU9)ClYjuDVL2Pzk^cW|ntH=Dd4+jFwGTDdGpL@iOK&^s z9ro~`>XyS{NB_8guuS5Qlvhn-vw+*!R~?68V0ojtX8-@lGMS5>lj(FCOp_kx6(g$d zSjJgaRvxv+7s+&8vKDeqGPORvo@)fZX=TpRXX%rR90Ng>i8F%`-*N5{4ZL{8vV^s` z*&V?MfBT>yEx-Kb+solTIOIVYf_v3tY%J+V!-3oJ4ekOF{_$Ve|4X}g z&Um21=T?X&4>-%Xayrd1;4={6(16#t+=W|K0o&B-SLtKPI@)P?mXT+gTMe_Ur%wY) z?c@4I1EMkroFy&~PQEdy1y(Erh6%9D4)EcX+-C-}@NV;^L$AWtCY9sU`FK2^^>|L7 zbesWCNWi;x;$J0JsA4p`a?A8ux56e4zIIJaOOz};hD)vX7F)ycGxbl~lS z_rPT~L0H{}^{ezBex#GNka}tpigJvpxDX(^k$S*`PWFk4k$v2burKXhB>J zKws^F;HnD&hEhDbH1Z5A_N*K$v7QCzsXTNrmUvcic$!Z`aGg#Vnc2iS6yEelNWqT) zmL3JjUke5WPvT!-5Txb;^YVOVUb&*~V~o5EH;{PZR;^Tm2m^Z&VhLC<8NMCrHVLv1 z?>GO$wp+uYR(x6<&l(SPTsY%7Gm&}Cfb}%sk>N`x;M#$iKAj5F2E%Y(rIX3S$+hsh zO7>|@fjb!{dX2l{+2`o@oC0L`0;YkYOKt(E*sX zS8>boJ?=sMl!06_DH-lWEEU8sf^F56+-BY{ftN4!)T|EYQx+1$Ga^7cWZMY{Hb_Je zX<(j84*Ilx$`$*hX|PN!WN=78t0`zbojJ&#+{ZD4VkX|71sCeomB11e(cCc(kU@{G=hd0xo<^VxiV>R*6TlYpDWu>JC=q~B=SXd;hK5A- z5t!u${}!ZWNeUle2D2I%XHWp2fv7KmO$>$sE(31di%_6{*f5M4h5#e6fmzZ&Tph?A zv#43aZN{_D0pz@95a8P5r<2Dsw85K=T=Z#nx?&m5fb_MT6C8|dPNpCS8L*Y)e!#rM zzrxcGgX=t;RowT)xk0V4LYE2g4-mX-AQ-7+Ap<55PuYr>KU}3ewlFiDc@zoLe5ux*6GU z9o^`CVMJChj0iFkWa(kRQaEe|c7ZFq&EG$JI}xq$RD%o(q9-q^uZbs5Zv~bLXxa={ z3L+iuD!RkoooU6XTi5E&w`jmG$W@ihbIi!n{1o5nIK)6D0ZyZ7&{tQ6sKQ2A8Eyk3 z0_>`=4Deqw55oY+_zCRbbL+V6IQhH|mBjejcF);%@MI;E*1>JSgWzi2@f;O$H1K!5 z>LPuBZcSEY5=^~nzupX75D)Q_aC5H1K9b4hGz5*1t$rYjZTp&CA8iW*FL)glIF83j#s1P1z6axFJ*Ai9xf zk__K?MZ6*|a!i6A^eDY&PCa}EE|1M=@O6v91c9()0&!$GOy$DBT`M+o=NF$_9m_3~ z=zv&8b(Nn1kMTepVaN5U<-d;oB91ucsBj^nG9wdnS=e0YL^x2Y&&udO4yP zm<8U_ue&*EP}jg$46{HICIKVaKr8{K_X7VjBS?ENsGiHK_s_3DsM#nS0MNl)E(ZpO$sJa02k{nu68teD3PehZPE*L87bYxX3dfdT^>2d)FT z#t82f^T6IS(6c_R&{fuP;Oj^CCC?{;rX9b?q*IMt8-tk4EBrnOY^$uCV#48v1;7R? zE*KzQ$ufR2K!BC*kPP<)wvGU$0Lb0NuYI^0*pO_@9w>(aF0&pN=muw@)=TSLYFs>h z30!(p$Bjv1jkoV|a6zic3J_Dm8DJOV=5h73he@Z8 zi+L^udBzxyQPHqAx({mN15k5(#kodpwwn`#-U$SO+eb+Mp5&MQ4@P;DSS_y z>CaOJJiNg^LLj0Dh8E=(vMXqiQr@T>AjI9~N9?P0uyLsbvyo*$t~g`XXI>N6E2ov? zr;q6{49+mk3Mll^QD73)FcR>aT787JM}P;OruD{)sU+lIo^M=qz)1Ev+8m0zA0k{l z1v%K-I|svIbEe_l(C3s%&y( zjvZgaxfCPq-69k8EgG>_e}@J{0D!7XIun2%k>(dv1eB}MlxwLJGeEVn8319jD!@n)zx zD_6;6_)UdP0K+npAEdu|?xHfnH5k?B(ep_$lW=@d1*!$~{fdyG4}zKku<3wmPXt`x z44g9fmJ>!5fNO;VgzcBWJS&du2AqPiQHOS{j;jKlS)oGzfZyaj*{vRU-%y=9^hC4H zE8x(^sQeX@+iFBRK<7Ni8CqDfE(tsb*pU6Y7!eKJgl4eu{ahRDpa#`Bq#*GWa}Sh# z5bP!J9wYrgMg(>NAOVqXL>L8QLzlmBb#*{1C;`zvo>%i8L*HpTo;OlqZF*dX(cI$5 zD`o<*3)CH_en3eoA15otIiQt;ZcD9{eH$|cE@vP))aRh>SThFYG7RJdMTZ5lgK-3o z3St^Y0x$a5{>#`71?oPF(#pBGQ8*fOtkx+=I{|1xi0qz6<~dhlc9~!dC@74fip^9av7?V{2}W zX9XrygZqtxc|7^%nXQHtcs!9m1`MYHI%Do+B}=fp;Pz7t+q#isvAO=ad#xa25{Pq%VUFNOxc*FCkOx7Dh96+Aqk#IapN(l zJ=3W)+?j9W8YA1>QY=8L0G}C~=LR7MLiGi8c_w{01?8|8gS5oQXB>UvNty#roY786 z6|Jknu^`zPxp=h{<^eh@Ud%|v;ZOb$IKd)-3m)*7Gg%(0PH>l0s*?5$jld9dZXxF_ zZ%Hd-1z>$unM#!^{y6nx6?XdrjkIx*;aY(5fu|V7CxUBKkxu|R3*i0+j$@ml=TuB% zGjiX`h2jst_J`IHDncp5ljlsn?M&ii$|$JD`Q|l-b-;7()G!tFti%-LLgz1O<(IU9 zwdunkqoC$z9*Ag$PP=$hrD4))4Fkm;c#RQJyn&n`cJV(>ombn9@~)NHsO&Qe zhjm6t1+q@Ro}qfRN@f7|XJ|{kLHB@>ZXlunra()EK`lMB{g18VsgOYR%=pQzXKoqi zbYh%`^0&e*R=p>}RgG{}qJf=IkJELPkV=min4{Olik4Eb4e-FTG{E`8qN2qmpz5Sl zf@}kaF(Us;Ap_$uikZrgivRq8CI6BK!sM7SDZx1@H%fktZUm8vh&@KR>I0#hP%8^fefnv(X z!&U_lt8hzB26YD*PlSie0EZjO2qVg_{r6QWc-ulyLwF3b{F>+FaSwnMh=R=k?7%Mm z&_IS*3JFMU0KEF00-D8mBJn$wX<^nuvyFAUdx>FA?RP9Wp!$TgZ0PO%S5LIJ&3vZTWx(fcX%MVqcn>^7aRW)J>NF%} zD6DQYLtO>%@G=g+4N9`%KIl{!_Kq@?xK-g63JDDAQl-o@24LLN4pQVSd)AfGeL+Lm1M@oxEf4Qa~(-En}AE7;PXvsO;<0ypahZ7p^GDCuxW(Fw3ca z*s;M-Pi{}s7|wW1}1ZHywz z{K}VCqx`npWQ|(flivz;UdpIx67a4Wprw#_J{4798^}9jyfHtMNjozzjbkXuAmq?9 z5b8C13Ei@O6Ft$27^)D zIzZR{^wgd)mXF`wvwDqI7>+Tu2U;@Zo0D5Fg2#YmAmb<%jr0z`S((~{cmlgXGB!xE z@yeCDbH!=S(wR(U?!f_Uv_A{k2>ciL*6LLPap`uh0rNmdbYOwL|Iy5qp3gY)6@kDI zO)|7gfoS?eO{wBGU>wIq`UW@-cvih?Oh6b@Gk6Fz3YdQ|C=L|npu52|BP71t#<5_i z9`4LP7}&snEZ>&=@7M;c1GVth@sk%pB7l4hl-CgcDuY>pD(4OE&PQH1rihbk(?+pp zSQDZOc$Xnv&@(VQa~f1GFb$~4AQad@$SJJa2UM>9rd^+&6^3k6dGLJa{mZLY?M`H^ z%nU1A;f+H=29j(57lhUw(7LU+R{^58Cy(;Y&CMXUH;))vyLX;PEE zuYP5Ibu6os`cR!$AoSMrNk{%zhq#BYS3&x! zBxn1|WR@|T1*}J3cjU1I`VVP0w%s2qY?6JJb{7f|&o(@yy!SG9Z^8 zgZdb}$#@up`@`S|7l%sOc`OOSpdo>+=%oy#>C-DI+L(+m$WKPmG{Dgn;tkJGQ~J|LvkKMP|IDBd#9?^4#8;6;5 z#fUNxh1He|i3F--wFAJ~P8-X1EhM zpLxS)-td_>+_AuC-f+hjpXG+nyx}u%xMP9Oyy1>5KFbZCdBbPkaK{3ldBYuBe3l#j z|KtrZllHKACz8Zrm~G>T#=(ZgT%Ls{sb(BGCs|^gW>pm`s$Pe!ZJCff0^9gw1QU-Q z%Qs`1`7t$UfmObgoNpqg6Wj^0L$E}xV`k_pBWH==xH~N5Nuv%sKOYgFWKSSY)x_&# zWL&!`jCatM%YRDSES<2gufu$f9VXD#kHk=)NKxByjFlu+8b;zaogymLVR5MDCbEFh zpyVY(O4O{mhBfGj7vLA*2h~hp4EDv}-3A zN=ju(e9W?w*yNk3p(7m0*@j`IuY?u5_mR>yqB|LjU$pqOq5vC^Kc#nC&&jY99C=Mz zTdyF2CMGpaa|+{^+PGjzpx9pBrdfBYL$d2s;fU6;hxeD%s4kdAO>Wj$s~7fOW`uU_ zc&StGFbgMCzxXBHuTDZ+&r5&#`k%P&Y8*yJgCETXMu5W)t>Oqpxrj>4l0<3H$Wa!| zCj`-NffmhCD@DriDwhdfU~F8TFl(?=Jmpdq9X0@mw+H(jLw`bR^nzgS+4VnTXu-(1 zE-)rULBk+;VdZaBHc_^jH!-kNc~nzjCuK!}r3V8P4R6=j`rG&mtg&mdsOglb8sDo_ z^e&iafe}$ur|(PHeu2!RLa>L|-18{ee;VI_W`d`u!v|3&2y*g{)u5aXPX@ORHA!NdxIx6FF7c6m-1IJ%$YMqdaj@F= zZL1vS-8@oGvEGu@=#xA}sj(>TR3|*z;d}y?OTl_6UOmii=is9do|nNxGI-EI^6UhL zy}vUpa%TO|Y3AnF{@HjR|B3_dEOpW5)&JmBt>UdZCy}P3*OCt}$@5e4LblAcWcE8It|yeA>0d+PkA!w#_!Y@Bx9}CmHB29epLPqgcn8x3#P&ImS%zW6P81`^UP6HLhDcM|@1;N8%{Uifto5K$7=7 zY+bMRL_QGpf;uT9Swvapki0(QH#yfhBugeHVv8#y9u$Lr0c-H_J94>momw3h`(6xh zp*5}PMs+*4O=R@S7|h&M@4R9?{q7ozquan2wy|hW}5cWYdd++b4cQJ;=0>4P7mCqBt3k3@Iq_ zmLvwVr|N~5JI&rcjhWCkUr$cAZ%hh{QnQMtm{}*6R%6ibNvG}l{xt35>ZD(K=>hi> z9No3`5Ywf_*{2@^wjV*lVF?O*(Yv;RnZR~i)u#x^v+L|)%gHNhX6jz>BUvFq`%8$u3wGq|Dg zJ$2Ya4l|cW(XUGF`|G&avcOgKotojF>-gpKawhiA0p>85NwNoPnYZ>0{=&&U!&dK@ z_H8VFn3>Z?_`p?ENKdhggxec&J4Pe_=q!xwz+1|s`*ih->^fKiHRX&%2AM?lb&PIc zU$}X=Bptn~%}E~>#6J({k>u_hxxMl2@z@)}W#^lN-E2ZR@8xH_)9l31g!`?R@Xd4R zH=F+p+rn*QU#HuLf7;==z>YdC^&I&c>SlW5&B{S#8a3`?tsH)R^t%J)-7|sK$oHYU z+;KKBin6d#yFd<)^le@Aa(%Ixfh5-=_P0MtkIgvSJU!42F<*@zek1k9F#bNez*`#7 z1+kC&rle&hx|^@}gtm%_{d$s9HgHdngX%Yejt|LbHt%ld%$!*rGS!Cx0(-=Xi4kPkU3@%x|*S}>Xc-@U!y0%^9aoLtAq{m z7CB}O>ety>+2SD@Q+8{;u#AD*tw9EG6=W?MTf^8d%GVXEr@)HiR*kyExz=c&A|pzw z`0?9Io2n0D8#LFfHIXCS2?^KJNIAihZs;*-X2LWh53#)oUR3NQiNZYLI+L7#n8fJo z)fP^-3ql(cX0=ghBt;Ht(CMPxyPrqq=>F<9Bs`_bD5A^p8BsGe3nqsoD78_)*44NEj~o*5zPpU>PU+n zirKC~_n!DPQY0q4A7&≈vYZrY1Jc`>oM3^v<@Wu?8KoNR7o=t8IbMX7PyZN{W{rdHpU-sW5DvgT~p2m-5i!!q}-Fx`ChsG@gz=02HW< zZ8ua4<1dMWaiU8kLd%@wLictm7D=XD7Re@=B^MDbk|6CQJK3GALUm$G+$^$D6Li|} zys1Jn9ubXO!+-%-srVwU5vEno|iP>C5D5m7eIvQycq%5i;AKQZXF zQg#dUlHm&XB{9W#OoTAdy#iXnC{fE>tcism+lm^gwemdNM%BxO6=H=}kP0+sG)Iv{ z-%Yu6IStH?Q-Rc3T+ji>b{CScALi!Gf~Zek<-|51X-1buB4eZB$WER5r}tr|7#fvR zVQ?U00aFw)JTw={BEA{6Wcr zDW#WdRERpv!#_(D&{hiJwHUYniL#s()+Eu;X5C~HO_L@nVRlJAk<$DYEo9MPB$-PR zbXj7p+9i=u|FQW5f^G~Hqd?;yQD~BCW@alX}r_i2JZ{Z9JMmWfT2rwoP~RusgJRKj#&Gn=0W%o zhMqS>fEQ}g*C+4ZIwHf^Y$ox@gK#-5!1ravW$}h!Nfmn0VCZ)15f~n#!3&K4(hNgS z6m&}CsA-$oCYuRwGvOu+3{hZ3>=!%dbZfv$GYXL?A|ipRF1)e%ZfL89caxmNbi;C2 znH5<*`0JwOFbgg5oFA4;)f#sQaqFfJGb3Kbhg#aViCz0puY8bb2iZhHoWw)%L>A{d zOG0PCOT3mt0sF6oWmx2fl5)6J#;>DR{U93~=~bg7DL;IyWGjkV!E zIVN0}M$|T>#eO9Zi-(D;Jss4LuuJa9O3Vg9QaVO-ga*m@v7@g3QKs*9h=*238brjsJkd{mvT z__5O}Y6?}&$vzU5j}n_%afypObOr6WuFUBV%GZ=>*}g!J@?TYUEZZY>q3RJ_FFU7` zho|f1$*~&`m*vU351)E;UU!N^DK;B@`bh zZ9`Ig8MXW;-!rA^jtr7Epe^6Q2jqpS1N6!T0qY{k4fCTVww&_@1vPxD-()3+S(d6J!3- z&gBv1_&$E$ zx2>fuM9Xk5%!8jqupELlZ~EIGkSp|}tWU))ABPh1MxLKb=Jl~zdQM;F9D!-#9T0Hc zp6}1h3CtXsYs=C0W%o}LXt9;w(D?)T_%6f@9H#UjI=!LvO>cUnqZ6 z)!KRxon`N*t6nW%EYC}==pcFtt?U0Y<~eo>wF(0Ci)`8#IUh z58m1|;T%`yj&>!WgR}acsGV-@k`EQf2ce&A-TPm&-p!y0}PiOR<`pa4NNZ4Q68Dh9ot?zS(5W#f9Mybx$Fhz6S@_? z9sh(ylXF>Zwr@7M%!D1PG-CYjd#{c%dH&H|UtpPf)aAYJJIoLcJR+>)8|bB70hy_x z!O0BYwUa_5t(?I^V{drpR{?OWMK$<_@ApGp7hA*h~|cKbMh>hkGfX`j*WpCofSyp|8;*KdUy(D7dw(l)G5D6`oW}>r&&Mv5^Lk*pQ=WMKwY}2MbH4C;p=r5X zp3t^7F3I7S(0W0b(tb0@!1)k2#b<=Q%QD=H>=Aw|fy16h`^BrCMTW)k_u=FV<-l!m zuG~Gnou0fX>_)bkDxB=R1t1&WAqgxZX6YwmPYR9<$?SgV#UC(^#o^&~Y75hY7row->LSbjd{#;^Jso{S z9-qf_t_17yOEI0F*Yb~0M()gN=0=7{KYt$pjx2_+*7PU$!}e0re!o`+sW9>GDU#s z=q5lv;Fy}9B|2tV>rU7QgA<`}GyW%Ar54ht@6Sq0?1dVK(M5cvX*hUnrD?ca59bE^ zN}p~x8;!cr_l>6GwSnakqX+r7+`oaA<=HRRKo9b#)g{(f!x&$z^e``Pgl6IYUo{P{ zVOF|^|0{)@!gXGV2P>7TZ@}M%c7V1A|?L3p}hz%ogN5-l{+U7_R<3dF%whE!$F-`6M|^cZ zQ#~UL@ot=g{b2rXM~bySi}$9gdcJs7S{@d@!uGUZl}5>aW?7515N~5fKhn0t5zhAa zs~@9DKbHkMY+3OV-jA%6uPUl3IK|3$D?fy1gQ`=|v28_T1&Z$CjNjx11zO*J_=Y$* zA%tdSpLpmCQ>2YOhvti|PUg3N7r4%udg_J(>r*3-{ccd{Q7&+!sTlBi&2HeK=sL}`WjDnAm*t24Hvd_uPjSb*~LU2GNC#&~r!IGR<>Jukl zA2}@7$vrBaDIePss`c%Atynug%f2CRkfqC~a!<$K#}Tp-5c)Jf7cNC&XjGm@#q!=P zd$@T$EA9TZmX%`{N?#(8?WqmU!GF|yUTht4{0iS2-YmC_Q3CP!(9^Van_|~yWqQBn z<9qO0g=c+g4RMPjT98;9&6-~=bBI7|!Gt+}Z%hFaY&oG}@d@PSo(+dsy{}05%i?Z% zNcZ2M#gX!)nd=dSR}*}S7)mFSv-E@NK9t3e=W(5UjWfHgp$pLY5xQI+(hrM)C4H1M z&35u>xpA}p*j+Cm=|G}5{_6L{9dqJiaL-a~s3ZvMnb3VA<9S1l4))(Kdlb(iO}aQH zn8$P7e0830n4AwSbHmP=WXkjGFskY7q1DfIFhAk(5Q)7i4$<+5{hFqlZPKuYf+J*7 zo2DNw%KIDCAkn6AFo}1YIQ9@29Ze60Z}i_~_b&8DH02y3V$!Yj$VZF*CbUMe%tt$!Z;D znrC0OB$D)*^WN56d=?c2$J>(7!imq`>zA;p9>s{s-!_dWcvz(`G?mo^Jv|`f9Z0aY z^{_WGzLRltFa`5K&(Lca*E6cW4Jt*tKPubbB&x^cH;-F3ZH+lCQjbb}+EL3+#x*l` z6pK8pCPz3?7$BioinhNfH3pQTXp@E(z-2?<=FCi}UvqNY7BOITASk%Ag#^!Y#;m9qLllZ zT@J8WyJrw@!02n@Q{qj9;d;j-5B@cA7G>MbR<0}2gGVy>)MTr`G|A%TlL1cSMl&Dk z*IzG*&aCEyN-osu!97_b&ue8hJ{;Omwp+#!G{T-K6}*kd$i|M@`B?FZ?+I1sh1W;E zNeg^qa6cK6f8My&zGa%`c+YWG8`~>u8wSL6tZ*9CzQaT0%#Pf+)TW+!QxD+38@utQ z^3~?`xLJ)f;^t*>sCqyub^bOW=)qaJ)EFG96OJwm@s1aTLy!GbqQoDtV~;b!%Vwr? zrYJ*V}(4$Ytxb_#z*)vJ|S;Xy=Gl-$<~ft zXFx+0mIS#s&`UhDS+l7(MVV|dCxkXnR2~uH_lie6mq0loB{5vgHS+_2yOW(oIjUey z4Hp&3X66NDHcUbk;g2dR^go-w7fNYO!cztN|?pynj?5;UQ#5HVuVdFYj=r6 zEs{bWP`|Cyn%O@@>s3VfoFWY*M)*!`3|UlgV(7+9LwioG!0gv0hZ&l+o1%fccS>yu zG^>$5-Y=>bxU?90QL&9k7`H{)oWk}z^KUR|+d19dq^dH3{R=OD?CcJ2{4OE?ulxug+(mmzd}AQ=eBzpazumu7+7MHgu9~Q zG4WJHaG0Z8g z+1f}`I(ia1bW_m9Q#8vbq-mEW3avO9sn$n_%F0tY6N6ptD&<-fG^Fln<;kY*c>HJ{ z2Tftd>i?W3DupIfKbfS(1I-BbG$8t2O2V38b&x(9B_dg`y-QRPT2J$#6zlRya5p6< z#6e8pRR;7&S=9qcqF3iMf0;lHrBUmoVq`2N;Vh>>gJS{nRr-i0jde&Wn0AeF)>Ao{ zoC(vC(9iN9wV^6&tqgPeRyJhCu_Cdx>ndY|#@4J^!oBz!>ZgD56*VfEj6D#|2xc`O z<4rhh2EmOIG=Fo7*A>cdVqKbSR{f+2bNF7-76eVqA(%=05E#Wv}X`3kI?#fpk%Fn~He+$?)c9jfILD?Ry-q)9eZcBX?#NiN^xgCVoq zqH@qz+=k37wwa<ccxpnD; z>;Shy@Ya>6ML8kW5{pX*pYzj1L2bfQNL8TqbV%cUBw>-@lGyC@y&WTrNF%C=$54-H z(R<#6Uq5ByIpc5HNRhY@C`&)j{z;UWT_C=@hzVnm^V<|cRA4r}NT--+z~V14*B-H`2*Q+N|>^YUL>COuoiGARPhL z>dLUP3xnRa1S`1KI7pd1@|7_kpIr($2t6Hz*|C~+MT1&hj0s&Q2gN2H58dP8s z{rm_dJ_xMnlIqPN@qzcYvL&ILYqoz^=&Zgc%FE-l;@SDnSHFB1JVxaoXca;`4sI_*69@(`)AuaKGwMSMi7GdgF*wfcG zxbeV{*u%7IKTx~JCd9yA=80hk9^D$bet(Bp9}&-DdSfGdp40YT6yIo<4i9dW(z5-k zHmyyH16c5kPRjd8S*G<4OJPhP3r(~S1(5xp+P40J0Mn7jhIRys1hFA{`i)`7fYgC! z=;>)GT|>6&B~9++NvQ~;b}zGIRC`~3#NDmg-^n_4^Hl^({E{W6;4J4-X2rR-bNsCIyzh*aN8 z4hiuEB0nTwDk)vzrZkBaE8#$u=`5F=2xyf_{K6`_L-q}YmnxF%g z6EqfKUy)wH@h6xkek*LmVeJ|gs9hE+pjnhaj!*%_n&2F@PQXp`AIq{D0Ko$4LdzlD z(HHE6C*sf2I1ZI8XL?HK%(i=<;`?;Ce|=DpDU}bif}AIpXz$i_PiWhDsj+%&A8zgc z1{S}-I7ZoJ?EaG0_(k?VkbSt{uU;mkafVr<(W4swZ~3+S_Xz(@yi|>z zJ>Wr?t&Uze8G%cl-#%Pr(f(j=Ul z8(l_`y8g$Lgsq(?$>5j&UQ84MU$!I`S?tvGG#RNa$Gfn1V5miL&Y=&6ZZg&I?d7om zyuka@qdon4 zz3&by`+4OGQQmz0Fw=2mpw!`*$8ah*e#f$M8J?@1U?fn-Xy*sQ2TcXqdAS(aG2pyB z+qI>q|2hMTHs0{M_m>2Q{$vc!^E(!{l$g*wA@H{7ZA`c1ooD8m1vEDdZ#FfR8$dnG zlKj@x%Qv;Q4emqA!Gz-z!cSeear$?xuV>)8FJIe(KOrsM3dFhmds%l}?;Dz1mvekb zFUbk19zC+OC$SE>gUho1$`2kIYFbclrqiTpR9NElqr;Nm*b{14>{{NEn~~NL_cG$E zM*DqsmbQq3;zpirjnJoH!?ZaZ8tYg4@n_?LC=GY>#Xomg?wG>kHoN(&uEWaAP!e5K;k)&gacZxww{jWTJNW$9}Mkd*-SaWSjI=$eiA*vmiXFXF+l!` zFR6CI7a0<;j1AFGk}$lb7fW4C;IH=NE{{nUMn<_Ck^P8(#Odh}nv z7th!3EXDJn&dUS(P9}!*J}jyJQQ5jv65?W+?48q1-DIzp=l=V1CgLIHXo(@%qvAPs zl!s?B;5(sr66JL5b{w4#LCi!mo9nUOX{sgWcdE%yC@?_ljND{Ceje(3u@(7rn8FK~ zXPgTN<*L~3qO_fPC!f=r2Ixaf5bfg&99NH;vd=sCi17AlnmL$yJA!R_CuySdEYsAg zceBnJUKk<%n|k_SKsb@MBSFK+%-I)G)gK6-_u`5rC)_LSJrI9)AV7b@SnpQoBIOJyt2Kv z4mWIhI+f)b`hUy6zLCEXTIBf+v5owB&E!3hS~}nQWB`HW&wOYvs~eja`PK&e@AdI- zh*d+(j@c%9pSX|HmoTo`;yxob*q0-*jba|YSg_~y7ucTCi`w!3D^uO`9?C&dTO298 zeIxWqH9MvKzO0W85IA4uUhs9H4f<3942*{NE^}Nb$gg`Za0T|sS0KAYl*&rhtuW957^Js%Y|@@YQF;K z{*BEeeGi^5{Fu4P;_jh86*I?rs&+U1NAa|BKi~984EC$wYlMyB{MuhtjpAA1qpEND z77J=iYQueP-xXWbo(E>>W!%3J%`;0p|BR2>;C^!Ge?w~1eAAEq#@h6o;mF!a(uLLr z-~Q-Mw4addDFzE(g&t59jH3~}frkyTsPR1=n8Ll_fB>V~FoM5Gi6M#8 z`-$KmMW&At3ZV85Myu=a#6WX?1yFvI@@i?zl)_l_#^vTjwIl8L-a%IAqN zt~2xvT{oT3MN$5l+6+`v?3AqH-+J#71)IQ|ZLoX;)>;?`P2s2&hCpp%K@pv;N98H+)s_Ed z3g&ows%Dkta%vs!VfvMc=Z*8X@oVFID(4yFoHBlY5AGT<{=56#kHTMX{-|m;zFbyY z*p**bZw<%$ot5E~jq|^5INJry7|r&fpfMOY8Dsg=E5T1XAOAvId~oy6$+sJ&1C6iB zZH<#lJU%33TZD%CxgpQ{P5*6QUrW~bR+|$J=m(lUkw-QPSM~kt;EQ#h#J3th)c##| zhTGqGa$%!K>EGO)U%ytV!!PG+PeD|IsNN^kOt+{LwJmDZRU!XSOKj|_aFgTit2&@w zSH2T_Mqej74#Z+ltLFPo<{GUHTq!HKe~a-GO~S6q$#Z4*#lpaDN8h*KHlFVb4+!g{yr_BG#ck{qzwv8Q;m& zAFk1*{HoO`s*I!ZyA-2S)W_FfBU38>ZC(<-EzR)z1oo!rq)9_7zx?dB9wxj+T;o19S1q1N4*j zn!UkMKDLzPo%_ApaG%P!f5ugh;iplsU6DtYnA6Wo z!__~p9(mbW?0AZAT(FmvsT${B^tT7!-%o#3dVpTPqk%ae9_9I6o8QdG))!mDKcQd5 zp(R$g=jie5E>H5?8yKmh)cEiI-Mm+&Tcu!1sAKrHiMF_DS`J>Qy4W;U;)CC2Bl3j0 zP91+@_^qN^4J+PMbED2w%f3#o1!>N)f_qASkd0blC71R=zrGjO%FG>m1CTA5&hQ^chdZ{WkcrwE2;m6#e zrD>h3*9ILqzJ9Ep^oLlq-2Y#+E?E#yNU+eS&}j-T(5*sENNT8!$d1~NSo$w$QTDrZCG7@r3y*^H?7arqFi2ZwE1T(OPHqtaumK z_GMEYEb7*&t2(a>yj7@Yg(}lgbH96t>rilM?Y30#acz=LGO??#FI>qn z0qujwOWJ#)Y&pT___XsB|aSo;8&&qH?31hbiPYGE;h#wUw3^AN`LU}5(Fvir!OP;KcfX~FAyxw{(#c4>!llKmNb zkaVN!!CaRdX1acyODvXA zNAeK94C)MTCU#u*)OEfHHyiZhbYtp9K=f%(X=OpKTCHgpsQcs~D@?oWoev~9qqSobIC*7|(Qh0oadQG`f z9B(ob;bYWKG#Nit9t^|NX^G#lTK4z)9$^mt=|Z_zv2J0@Cj z#<8f2>v27qZjLp*rb{m}I8aodE%O`YS$S5ZeUoid0Y#rx)vV-3v&8ox=f|y0wD>5e zT}xqNg7sWXlO-=|6tQvhvRQJ7=959eEJV3cCtu*B>NwLb?olmHGbKA%mQ(r)tY5L# z39wMlRu-VWmauWHYtjzgp1R$qAV!Y zrl6HUA_!T{=7c1}#kky7V=J+nh|5IDUJFYgJ7}q$I)-U`vWd_!SSTYa6sOpdqUIeX zF>_}-(m^R_ZN*R%Y|LJZ*1!ZT)oB9Y9k!+POVicn>`oml#==5emvuO55xI7N-CUG_ z4`|UPra#KxbA-pjfGqN`QVv-!$a!6WvwS(h18z3}HctS-|f zmISQ|BOj5Y7Pr-Qmk{OI5~BRiBA1-uVIhdkLg3E6ekx>gnrcre5J>dPPMZmbtbvg{ zkqrqIZZ8Axw!$50vQ_+g-RC82Lq5dWVE#ZMeoLoJ=fo53*K~{$>Kn*G6y92lnztiZ zJv%_ez_0|{d|OYbQ4ET;MPh)U5r0AUTPMw%xRSrDX_(rnP*{hhz_2dokjzjn$plM; z!8#=f2$xW9-fXAg@6&KOI2Y}65(x*P@^`^<5d~ysjde+4zh*<^?oG6fwz}?`fSXm| z(zvoPeL$&la4Ta2o?`Zir-?t%-UqsMpJ69Osl<}ehaKE-dO5L1m6|s$6VQnH?JtgYgSQRt|9wsvhc16qEka z$K5;9A$k1sIYS;PhtnOh(n-gz_RRwCb;sTWRGA?kzFV1B;!83cl79!&9vqpSEG+y!!llutUg^_!fmsnOy2l;XF9N%$hB>*84J zGUb_NpB2_jhlDSv+hs4Jz9`3)t0Fp#GD>T-`bbWq%KLIhJnl@IlP_JAI?$_lpJ3|q z?dcUyyF)$Klfw0k@UE~&_4vk@iy&Rl6`>Bzde|ROSLI)GEr-F9pYmPJh4Rp2ZIzho z`FpQ{(n$k*JB$31Yc@n^Lha0iDzr1M{#*TH4p?6xc2gK66bk=abS|ULrQ?;O0`DRR zMU6ErU{hF8PK*eo06fhs;$gZIDe=Iq1-L0az=n`)ib77C(vwQmw9sg1R%u3wbH|0u z%PJ7*+W+)3-yr!rkiYt(tNe!Z!1E!_!~^fU+OwQqRo6UQq^E;ZE7SKq(Unrx{CQtT zzgi!y_jIX3h^Y5;(am_D&AmLJ82$B&SY2s42^ z72E7U$1aX9QEzbeWJq&*FpJT5?cH8-A!HR>d3pP>Boz(SaM5 z(2MfsIMvRU9_LO>-u-as`3&18cKA+a*hf6?#g|`Nw!Sv@p0ufoUE&7WnB0`TPq9n* zdpNxEL{m^1k~*yJO?%>1mYwTIOH=HYaNo!N8y4HKkkG{spf5ets2~9q4p6Y$>Hg8l z;qflM$z4`{ge3k8I(AUp<{K`50ac*)X5p$_+H`5i=Yj7DO$RDG>!?HzHN}TKT^xMT zOGBP^x-3-8o(cSy&@soeWZJiIeAbY5^F$d}>^R6hDE%-Q(Lb~)iKF5QzhPmEo7MJU zS+ICcohFY5irM_Zhx?E9i@vlkvL?P}_`ud{uWc*~yr_o64rf_lG&`910Q4x&kMp5w zj%uc?gJ?reysR<|s#WXXIkqfpG}14o6u_NdOl3IGXi-VZn5D~t^_N~yUqJPNdhSFX z!9kZgJ7!u`a(UC|yH-*;(X>*$lDd+w1VXyd3T;IFrQ}GTfTG)ULA=;PBsn!?Q@(dq_Q@9e(0P~|HS4FK7&pDZ+P~$1Uo%H(af$-g=Hza^v zW$y#%whR*6&ei^*T+ zSBhVAZd}So4*J;_lmDD2cS;-a@nLG`bl~Qt@yLPVC+V`2iIrVT>P-BAhltLp2Ta9d zZtqI*DG+Nfui)!ASC$|0kzCnFavQFcjgNX(dN$o+*?8o)b-;t^=!beKIj ztFHCEw&QhAnkv81xUVYtg}6@cD0}qs_tiA&f4i@eY|&6rIQl~@WD;1Zyf6Q89sYL_@;`Hak{G0+-^g~Tr= zm*8rcxY6kB@Lc{%K~*i0%#|+j8Kh){E)Fo{PJ;CyEtJ`-iH*PNg_s%2|0vco)oY2R z5Op5e2|Y%gBmD)p<8cscIZiQa3g~bz)2%2)x~SfzKkooJms1PqZc;!Tz&5(bCSg2^ zu2ZC$fUU$z0&Bq81vadvP!XG=r3sqBFy0d6F^TO~!`mO7U@#vJ3lK2~m$fcZ2Yo`g z0T;^MTzVfa=mjpRD;!{$Tki@HK&Z&p!duXnAi^Z9#5Sb3dKK(x=%Ey`BCyIudKaZX zFG2@XfdKUSr8B6D`;U|BKnDK-1ctJZKY+li&~5~{TkI{gbp-|&_D(_b6h;eN@!bys zNZiYuc!vI+n6F{GxM%3~!ptAIchz- z@WkA3(zsS=#*F|XmngT|K#7vDtq{h^afl6~8}ij5h_KewLw#_m$oCBy3|Y00#1ne5 z@n=&me0mCi<7pWtld4OT(BsD0SO70wp~ng1doAnf$lX1ZVN;Q-=Zm4Lh?clCgCQHw z^s)a^`p1#P%+PbXl`{B0riJJK%>%l1W}Qh_D6E6d;H?L+@IZ+$$$RN5L9!~ELKXc| zJM%KxWJo@P=cv)1G#PoqSh{a}v1OoCS28cYAn>KT#E!sxrN~#>q&+9NA2FTix3e7! za0m5!E~QGXcZb|#;;0eO2on(?tReY1XL*bb7+M`Y(dz+LYHbcZbT$0|+yDvB=Yy%n z3S^)b%YTQXwprs#&X0{ya)kfL8UgT?qVg7g=-bId>@<7(nTuR~NXeV-td)zGdMhg7Yj(8M%}hY+0q5 zC7FWq*F=VmyiVU0g`R=;ogiUl*Ttz}zKY_edgvh&;VqRtq@E|tdiG=K+FJP$ev)fv zD(vxNHqzQR-_1E1@t#_qEf!MqkzJg;%Mf&Th-Gv%L^3VY>MG7(qK~pgA90&(pj6&1 zT}sjAaQl9j;xlyVwO$_iJphK5ujJSssawKxEkuC49-ZVNhbOxdlC{`BC_xAEglfoMJAq__YyKQ&Uz1rves7nP>^Jwy7?!THPnmq z=neF0>rDxbqNZ}A zg{sph=9O3Jj;Z#1nRJfaFes)!^`upkWzC?e)|}EM0&H*yaog=g-T*0~Gt}WDWWEb7 zqw`8a(~dtOyE5foJ<*X0Px6vo^V8%u>J{~f%{-XU)gj4K?o{F-Mpje%7oFQbiKZKs zpQI7CQ=8d_$ZA5jpFKd*wVskkReEnf8)=p+Ii?(6V*esOK#`(Gp2%80W$77Zj7&@T zJOJ>;nfje62G7o!nYi|D+OG@yrqjtX+;b`7)xDs1R!#ZGNe37{2Sp_khPY-Q}kI#hJaCuUmX_@g$)g0?875<%hr(+}j?w}~h^Gz3Go%&5n+&*vDS zwOt{dN$yEQ8xU#Y1R}-mZNFrUmv{7G_ zCAyiH?w`bkZrP}@x_K}vDAGtGiz^NQSXqoUXp58{#yAOv0Icm011fPh|1^|!>#G$% z&61);x`V9{qWUC(>!M5=K^KpX&U?#y1Qpvp1;xT*T>CW0$P7`et8*ZBn4ltqUai$Z zD(+2oYK41H*((SWaMBB+4rCg;US!Q6rzrTC%<>MdpB20srCa8F)b|BFaa0ufWKdy* zO4^roqVtd|QLD$m20`XP znYHaWTa;q>^9SCG;uI;93#h{`3Q0i=Aro!}O|OrN_Y;*W^bIL1J| zzM`>cVuUtxj?hZAc2=RUx7Ua5&2-Tigi-wDQ45JN5xv}c7tb2TX)KnLC-@>(hqdD{ zPNuH4he16u4xlitD%hMsqqtPP%L(Bb5gsI)!FZuY=$3wlZ(G}@LN?!L(ztoxy){LB zWHmQMgDrwK!oZ!Ib!jET{LU7=z;b{VcjA0>gXD?xL{T#f<^MjSNuZ+|_$@Xnk@$cl z`ne)~+Ip)z$ffG&R?v|le3Pvb>@Bre(h62hLhx$AG~+xA+-wWU7m?zu8Xyo(7wf^` zf!WskrVI$HV%A@ucOPvugScEou^Jk?sN*om;mkL-iB&W{k4qhRSRID5&Du2IIbJPU1*kG!_ltsj3*n37Vt4s~v~ z2!eq7c$SjyBq5I?wy|b*5^)~w5bskty-~i+@y$lbteG!j=UD64T_lxiV$#=adIA_@olDSiToEr+3M55R zydIJT-9%E9y?n?H`Lm!*D1Bzq4u=_k?r-_4(lyA`4OkZN_~OaWuF;!B`NXb=?e}oK z-eXItW#O9oJE6U!cV=1 zW!b;4wRG7?9ZY(1sdnC^@wf8$)K6ni&Cfu2b(U|FmEC@J z_$PJD`Ae@YXNZAbmcN(bjSDPsQpVW_*`7a%UE@L_#{Dks72p}-Dfl3VvN-XkQ5N!*R}CYu(9;p-NP^gt&n z{1b?gpu;Q>Vn`{lNt$pkqrwi4>)blYv?%B%@O|*()}5nZQKVakv+j&9tOs3LQ{-$^ zT{?3we4ty0q%RuNo*6PFzRVqvkHNH-_WH{rlXUq9re7x3N#|Sp>bUATWogd`rdfGF zS@xy*TDFT?aNE*5jq~nW@iDQ(P(GU)1eSJjd{+KljO^<56Qk<1GQaN?Pc4;L>>K0@ z!*U32>zU?9#7W`t4D~a-UTQ$g)&i<4cZn;+A@+@>K~NG5L#b1TV}=w^!iRU$z6RL2i-3V-=U4`{q9q9sbEiJ=ZBApB}ByeVJ+Py z5cg1~4FSEZocfYP?+8u2DQoLG8k&@g*EzZKcuS)JUPP4B@FH5EL$W~#iyiE zX^TWvts9<1rED75x5>$-EdA~M6{?Ay6uf6A%V^xYNkC*-s%{ZhXx7jbrULy~J-bEj ztUwjuFeIetip1GB$oIG<8aBYv{L*9|g5tAz`4Mj2?aMf(=^I?W^D^Mu7xHI63if1F!Y8xNqI8Dl3@fL005abU zpsjc2@?p~^iP};1CX8R|fA3+&h=K|d7{#b%6rvRIre${q;=cP=W1?RGubwq}+HeS= z98pHw@9Ls^+b^8lf+&Wl z;8hNaWhkh4;MMyOV^FizT?{E@t5FG3q0&hOZ%dP(rYC4z{a9MEWfZ~VpENy7=HNYd z&W=~6jX4Hp^Dt3R`1i%Xpj#;$s|&_WR5TgWhxw+f**!Tx!YqlR4AHd7x6Sj5E{UQT@MO2YD0}C&thzd(-_W3q0oPDFJ zn9!JRG}5J6wY{;bI3*pCpJ1v8XiwBJk3da5Q1_-A7E49q)iZE;@GsF@@afK~rKK}l zvdp=!W}&Gf2I#(Q9Z^-hPOGEYtIT>MS)U(0`wX1i%3Sk*N6>nXLq|A()C z3uV>h2ewUKe(Co#SArT)cKgFz#X^JQ3|@sV(_0I23t-CJLPOmFu7s#k=2B<4s;13$ z`NSE{aHG&v7rxnvGqB@M{I88LHQm?&7Xx;VfGtp15L9pz@C{GMo(Q4C}DHzJE0Hl`JzBsA9JCex(9GM4v+gJm5r1LH#Y*paBY!COJshi>)c}O@l5P;Q?L%0B4LlC&T-M2HZg~ z3#ApK&;^QWyJhM6OAi$3Adr*7+K3MNbA?_QkPaHwt+N3L;MNrdq5Hw@1z}q%D28DG zlEb$M0iaZLP`Xx#2H?*KO;OabI<`laX$l|8wC#e7SX&lPSrFGDRuH_^qJ~s z#AbO^`V0C!^{_nCH&A^6(BzT+uQ!dT)c`!xi`lI26?+Nsio8$eWS0hhAqr&^j-aW^Jw&Y%!Zh6XkG_u+O{sTwZ+kF&xrl^_y5C(??3s{ zBTvXx(f0?wAwoyB@KA3}VG`CrXAW{Iw;s@O`qzh9hhfl<$No<*{p(xTS3f~}9-nUr z#Fpq(|7ak{v{e(8Z21P&SfhAXJ=cm$XL{dj2@+34|H|`NT=iX5)002%{Z3@2TG}YP z|Lh66i}mAAFb~sDDLd&%4^fvPk8*WTy>#(ZS1Z@USj8=-=bUiZbkT13Lir#v-`vO= zW=Q}2iKRx6d47!^3`(a*`w44~c3+l$h3ciQ;6CC}WUywWr8eTiyGrOl5#V58vLk87NJgEqFY~}Jmsc- z+C<((RYSk9Q7zTw+zi8k1*W{6UY2g==?4|5F2`I4 zb}Kw4#&gIAZX|Y1^_<|%3T=}{f;;jVtsSjSvnK7%L)JAUe@fs@_tVz(SmXY?TRA!S zL*L{+a;`dgtc4vrkScuwmCllo$Fgw3?NTSP5Z~bGmR4>6C4*p28cGgWme~RBC3>2z zATAAXP%|kn*_5RfVRb-nU1<#wZw6WSql7hh561w(T%8vK?m>>}wG_q2xGO)ReH_DU z9(L5hZXm-<3MH2Lf$@uieT#QghMtkNG~>{N6hzA)+tFr1)`EK@%-;I<$7IL99#Q|b z<={}{TyWv!IWq|pu?Xon-T+k@w1C5GRgcC&;CBK0tazGmbFcg{!5Z#(nx*>%I-*JV zx$v||MD!jhH-*oKktANV{$Ku@q(3mvXYqLpRE((qZx(m!*BA|N(c9rex3NcTyY8wX za^WmVzbmd0Ao>vMjO4!KJX@;vqNGN(;<`%FdYcS5UX3Y-d2yystjELuj=yHdC81cI z>Knw8^43eYije>7#{B<0cjewS@qm)+w2leN>IDtfThiLuT>TNx{*Q{ZLN$h-qB5ph zsc9c-wR;b7Au;smWg;OkAIYDr z`AMbqFr(h-EqiHxEUu>wvzY*2$qIY!ko)b>7zTZ9e)`S$(bgQ*QfZ47SSh(vU zvYgLS-IStoEwGQvLG;`rBu+{M5LP1`ag@;S5}fWuT2M67US=!|k6_&0Q zPx);Fqlmph(5A$-c$!wK)xY2Ue6wRb4#k;xgO4h|mLFR*u2!qh&$LVb$Q_}ora_*>t3Nes8ru8whO&4`&cAOVjUM>6!duH z=X)lN_W6Z#g7G!=_9yVVK1aurKzwb)ih1Q_CD2=aRZs`K%r#LEryAc((-CEb6sRWS z0djjn+~=7Q0ZsXO@2U43^en4t%BN*wIpY_Yk~LO-*Qd2V&n9F}{tu6?U2ZmrG9f;X33b*j^DlRv{-KNrWH(Y9MTjne?tE7*OJ0TK*JwfhULqQVnqp z>)%U;btOEa=vICHX@Xh+K2|r9->VR(bYUh$^8zIPC&&pmy?>r?>8o+TucM7D#*3m+ zhtW2M)zvmioM>Mmd8S;;V?2_gI=W?=1qTpf0*-Mu2K0k+lyx!jes1rzYZ6|pgE$aw z+ZG*7+YfQF&P{0M&ARX%JO=K=PzutC6YUfZ)oOZ*pWwI&g*sPolEAQ-KyLlB%t!Uu^_zVbeyIC2$E53F~mKhBL^60m2Xv4Uc*v2@)rDHe3T;R`L%%C78 zB#5ynCVF^1h>vE?J`L6lVk>gtJTZ@(`*689mu%HBN;e|J93)sYb@V08j-X&+DcyPL zC|jIEW@@`css%;gqm_j7&!7~yujXd#cqXielX zg4jDR6D|E*i`Jy8<&F|&q$#WRY~swvcnZ|{HU5IC2p6@%y-j9~ycZlJlrWbg=J})E zHo4!R1?rYKucCN>QlL`gcC1~T$os$~f<8|v*m*%}LuR5MjAL9m>PZD_acF5Q%rWF0 zQRFPgqCCrifeE37%}8+El){iUnPw>ZAji{%4Z`ALE_B|GVYM{$)RW_otF#=QI&TYW znNmg1)1rjl)I$nfixu^7W?iRZp>Cg02-bX?3uIVOaxwhMxBe1z?qV%t4Dk{c);bsf zNwl;X=Tcm~9Mzl$KNA=h1LBBkST`}O;RONPCUlD~iW4-_^B`*LRmrR(72S!M!G=TG3wAa~c^>KoU`v9zG$`R9^8?zi zoG-^!iYvG{7uH~e1bC+#U~)n)LtbPXp7bc1K2n6thf52r4p_X=M@1yzG8V?CVfz>B z6pR;m0X9a#COHgihG8Gw{j85DEU%?-M!IycIbeMu+J-BHQzg|Rlvl+F12!%e@ic@z z1V=I0Fl1%XWf5mdIQ*@WenO1vOj=|ocT$-3hEv7{z#`LfvJZw4FxWGs*SjVW&3L6&xsZ6zq3YZXp z`C3>*i$r`1x4|+1ug6HXTrWPP06gCh?(1;4v6!fubvx#F-Y~85y~uR|3HC;0+=E)? zg_@q0;G}GPnXAF$&(lv!*FMl2(A9atPacE>3f^TMqYv(oed5;=p9t5eK(LIATq6Su zM|S#t&zD+1*sU~su1lhCtJi*AMR;--*_o~(D)T*O@k2hpa!~wRw(+dD=?Hzle*d4; zTy8EW{bc+H=tFr>I;oB@hAjI-BwoCf8zrUhb9ugkMBe#)Zm&oSkst&F@dcsThxD;6 z?{+XnWy2Q`!}$cIH&i;NQ0BC<4R?irD?0#%IG#A1VUn7Yiqb7A&gJBRjxlR$oOy@h z(p2?~0;Wn%s*7^xM6#t>RIAgZR60wki}&Wmlp&+w7mkFe)~m$Z#IU?=oFC>TNK>v* zgr1b6QyDLt5e%6e-Z@WRCg*|=Wfxff2rD|YP=uq$_z~Zu-d+;t&w)?602a3)&Mc;d z^I+48ltz57ks{PEeM!Jv;7X%lb}ir|kf-K(*jX+(S7ZT>I4(?U!ux0#^V*y%jB_sy ziue?hZzZPez7YBt=%@&Jjo1Y$(u7q>03TVaV&`Oi)wr-p(vK9UdU&C$IK@4%S*Y*_ zs|NDtQa{%9IQG&`8c>Wc~mMQl|jbA8JN@b>Meqg>|sHLl<4NmQhHE^JCS1F%|#+h5M z)GD?QCYK~|kL1{IDRV@gEem~9w&mFh_U<_*FM2;iDzyj-xiQ66p*db}Sd{)*lr24D zKVGO!+JjTW>Sc9-9d*pfdDi=xV=f`yz1jS*!7wQlEP4s=`vR`(a}@jms1C?KMXa;jt5D-tkB<_w38!oYnri%0 zc7@oqbEhD8G#Ffo(}&qZ_C~pQPTUN(RQFTmTRd;MMqPVgP-gOAllr#Uz;4j^i|I{S zPB5>tS@j6xoh`WT%0YW!`qYozn+U&ujN`8(y7W^)6P|~$$`fFj(niY1dwV;$%af%o zV<1eI9wKpN1z*84zQiKAnBG*qkv_76JJL5ywGmy!j5@8ZqW~z{w`RDmrh>HHMcj07 z!&G7nv~hLC1coDT@vnf#NIf^o&L-9o4wS#jpE?XC0?>}KfnqRx7^dpMePxIpF1-(0 zIT0uxY8ql?sD~e12vYU5!^zjv^?{2`P`p9YW#W*d0g&$i>{&U>Sm)HNYF~}5vha*& z5M2+cp{iX2bYWjICB*I!=hhKMVN6O9j|k-CxEmZs&W;I?Sr{|)auawNUY7Ib$rA2G zWlKy5hFLBfHEzU@H<1eW&O>DVH|U4M=IC z%W`!xYhA>%Im;40K(8+>B$z2V!Dg8x=#mNfv|y1phCUZsn$lQ3!k#8cozRAJu0c|h zkXCw}LIRA>5&^sj_8LuRNs^Ok(!G_Zr1BXAc5C%?yETF5=`QPxtbr%OFom@g@Plr2 zCP0|SDJzc3`~BR)%lJ-BqLJE3&&p%ePE9QkZN=LXm=d{4Oa;9m)IiASu6lYxjOgmw zi2ghP#;XbeL=1RA=X;Lb*-l`vs@s7p*SkI#x3);`sW0dm7cwBZbF%&jN>w~5zU zG!szDjYESQdkrw@!N+CNFsUXEkpLhc!5@UOs2)7w*dh%NbBX2!_xpy-$qx^q`wgS& z8{><;gYNbG_;6{Lf6zU7^2B2A<`bS_XoW%FT>kU28y5GQbK-ha!NW*Ryy{rrx#JOH zGoSdW<0=1!g&RHF{9yw~?(6D!xs{Wi9u>I#mv)rA1S#6+} z@QlEdRmAC}OxmaNk+Vz^-F2Q7!>9LS{f}$OD_#_54-vfkKPVZ>r$!bVykDc%ah5b11aV zc@kfyo$nmquyHU${^~f;*k8`>`1|9t;;g)~>&5}ks}5L3SMf6kzP!9p$hHo^%u@9l zv0?L!vh3%^jqkL-JT5;O_}1$gRUS7IV>&paBek^~1Dr19R*v;lepy?4;f!NVo23EK*8x)3X|thbk8KsE9Z zcY>Tw+%5=6rQHJ0>oP(u?z)rySXpK?iser1RRz~}+rz{|jCo4%>f3m1f+_e)L+{NZ z2LWDTq>qE&q+ux3NN0x*&Vqo}pl_+N( z`^i5Mf5rWc^}xO-4*f9nRN~wHPo)OMo3VW8*-g>7^@hb-ni&9tr2@F&ICe|N%iuj%f)&&0g)+(vd z4~BaCA5)|EOQZBvxu<-`S7|Uzfy8JRdzGIR{Co!^w8QvD2Se?Q@%7jfh34i89J3hv zM~K@Dq1gZZ0}k{5_90`x+>y2HJ4U-gezv*i+r&|-t@zKGb5K1uJvO6k;&RPG8FyrO zKRK%mDnX+s-(KHn(ac_#Ext?JEraq(>Lx`~L;l_)h6<;NygM)w+9uUJQ0%n6$N!!y zr($nkX&_Urb)0p83dqso0WcRk9NMLj_2TI6D^_;#^i@w#>HS6|Cswi7oJ3%(W08cT8RL>^g6MsS81i*Ai5MNp_TCX1Rq-#q8 zQISZO__$@fTGmt$Euh3zv6C^!z62FKGcL%Zh;CBV(WVs!F-!LEtAx@Fh2Y;HhEqMrn64^+_7>|H7+0E^qc5Eo&CW4*=-^R0 zv-6_`LVL0EFalTGcu3kS2~+=w0Lt;7wBGgSD4b$P-67t~XuVU2F)cjyOoY4(vuhYD zrMt49<3A2M5!fUa`%$U*bGBFUG&{=4&`uXEYRWH@WCIUz5nHaUr+Zs^xOR}=M;W4* zwlC2{Z^Sx>XGm9`>q0%v^vMgRa-lbE>NR$gEvqRZhYUHk!hs*M`q@N^u3Rw4I=+OB zYN>KvjPuTmPjh@AH{S-j6hX|f`fY6DA#aTkP0QU#dBpiO+tqB-(uJ#8IoPi2P=V-@-@&B&r4Pz1@Q~M%~YwOJ#rTlC`D3m*+xDb{a&B{sQCPX5+C;tv(>-go0XdQ5%x(rub8NldBt+OWXy`iuc^vk zv#V@c<^9`d@ZXx4tel?`>(nFsi7Aq{tdvSu`AM;Mto0=~M|JwohNQ9sCET#s&D)mQ zaj0@@xulSCa$c(5@{gh7MtOI0^ty__qR8(u`UXU@Hyy;ug_i8M=ztpLrv?4aCDERx z6;uB_{}kVkB&>vQV0MC;^QSAZ+pJWu>G3gpFB{tM%N#^|#vaCPu4yNmI z?qRX9lMy?a$U5!)U!3j%cS@*?wHtezi25B~OrI5v_&y-XKN=K?YZmM?QKjVMO~Plnnu-gV9fQ27zzwKo|4+stDu1CFr|i3 z6mxN(xI-U8+y#BKlo$O9ZjjSBjCt8fmH7LuI=pp(93t(Sb0ne}57)D9Z9j3XB8^S!8DQ6Aki!G$q{r9P?8y>> z{Sqk%=Loy7vh%**k&6uY_huLnfSB-eOD-Klg(tb9DY6?v05r+7@3Q@pMSnDnO~64n zY*8;e$2PL2OV<$UC03HAW4^b;&wjLR(_^pyhh}b!G>o5M{C|F~w1-=&6YNyESAkw>I8;?aGv{qV{%XJE7Hs~I8 zBBmP^fkfIXgMiGI>IG3z2{R>XMCm-`;wObXXGS1E3&kvVO2S&u6uDOkyR{LdD^^zN zDNa$W{X*f!1R>0MRu!59<<4i{<+U{E;Z5DF7}qEg!N88tfKk3`og`cOVKI6b|Duoa z-lmjlDBCjF8WAjYP6F+aP|0^G?ZQNPEGtHJAhYpCnY`U8BCo2$;Od8|WI5~S5`r}=3TlvvASi0|J(4v@gip_kQGdtC%~i!i-4(QwWbmP9!ZW*N$dql zTMZdihzhmk3^Ho*m%cqjA&w%AW0X|CVHHWh;kpzLlK|W ztWy!kVXiv~%-_xMbX<#di;$Uj-_3DARj`;Df$%UZH^hSthdu@*B*wCav&V0cXLB$vG1Mr#=tcN@mYr)^H!KV@z~ z1YG`R6jBee^2b?T5AFkkQPf2dq2r2l9WvL=1C=aBv|v=t$AG=pGx*dwMt>$w7UEq3 z>k9`#UgWw-L>P38jN!6ZxeG?fF&_uXnH3Nyq%?Ju-b;kXFBLI;d{Lt?p-813_-n;@ zRp#!*(8%9#NsUCaz$I&2wG0cEcXC0Ug%Yy_D2Ehwx1`0?VjY0K(w!g~L4;exB1+N1 zerm*AQxXoJ3;T|Rb#zG@+O;Zjq^?L`4YI3QW)_haW0Sa|>-x?Az(S;q+7<{ah`9(L zGsetmWbnae)UbNHoz_7L1~M*3n=o?|2diM#OJP0S5W*Vs7!N`zqoct&2jXb~SedY> zfLSwEG=*a{0H=kh9m6_WFBmxOdDY0%8iI0Fk5QyTfZ0q6q)Ce&$sRo@s-U=TqcOTw z(r2+Kbded&0?wOZbHZDo&uT!9wdpC6mxXr;loudx1M)mD)R=_K1w#)7>NBtZV_nfz zIFnp=2`t~O>=WP|bivq65{PPyqIYYGI6oaD0^nS8%eM_IQ^HJC7uI4CnVaW9?3Rle zO8&FL7B*|W8Vtedp~BW>4XXACqqKNgfpX@#=oE3ZA3MM6(KH#>LYgM3sqn%F(XKmT zxIzv<>rzdxS=Gaqf-VIL>-Y&?2{SrieDR`11Y6ex@4?rCwF34Q*1Q?MzGk}|qzX(q zCmWoU=yFml^kra|y0)xuK3%?XrSV-O-zz(MRCGz^2B>kVbsW*h2tPeUeY$3#{%UNG zJno*RxPemPFdiC*!h#0+givG08#3&qyz9G6BPkZoO9XpNvul;omH+9eO3PPCW?Zgd zTXxxwOF=_>uR~pB@f871VcRvFnQ|MhJ;?3{OJTtEQr8*DtoMkPE3vWTe(v>o(khLm zK@jlG(#NXJ_N@aPH|-?3S8ln@U>vB^9=%db+EO=GB}X~F=I^+YMlfEP42fxG%>ljaCdo4y9R zdp84q&RWP|!M=los)&*pUX<;Gj%DD0F-eSe_ZY)W;H+IYj6)=fYrG__kp&gQ3^-&U zMj*)&h1fHy$53=Wvi-r#W06;s4q?~vV+}o@bD7XL(hV)oHafv2y*5?&%(`>~ zzfOC8q|_-j{<4<%KPS>qLzk!ChSx)}SEyIWdV#4UM#%clxBmv+pm!*l4r@I!cy@;h zH0C9$i^K1qJbQ*MW2)eEdKzp5Rd9?@)CPKvZlr4k({$r>Ajvj1mVr|Q)kMD-+v-a| za-PmgMDgn&RQ{W3B%_$-@TNfPRso|fi+Qtj@iF*fDY{Iy%@M`ZG`Lf2r@tZBj+tJg zXR7B2aF%{Vn1vb7sxZs{lK8TbtZF4w1Yq13ZC814!46!q_3;eh04bA&scPoSO^UFCFW`1s1x&n^3(rzDk-;)MI}en74YjL zz)^of1lbtB0@EWzC2gIgQuVa;QC0>4x%vnJe!Rt>Ij^zw^FkL|b*z*wkv9dt^%@TU z*pQ>0eq?Mz;x8_dDa$C@x+;TR2&SxS(iDo2Xy3V#Ps)5YJKVB8{~VcdDB9jXVl)7U$S6ZUINN>Z)*0yM3s z)FzM%>0=xm4n&y%>N8WK*s?U-XsXi4t=UF)!CL1g=gnWkLgO zgTZ`EXQ}}dgX!ZlEt6ySXm>26p;*(WI)U6{#o}C=75O@awWp~N%fvaw`oUC>C>|v& zxdV~EvwqS7lEa7AAa6ZP{hrwAI!w)xHxOMi_0vgH4{o?-12#CdVN+pn>=E_E?`gc4 z0OA}D*DK9cIOy?t)-WAdt9ykuz-!N&Hn>390sz8Q#@WDGcp9L~E}pPWi^|zIs^IWX zf&(-B)!8cz^g0f2H8}P#hG}k2ehV5p3m)Jc_buxe@S!E-H*yUuaKms;9FYZgT0SyW z|K-9*LBH^1zHWEr&VpnA3U@;gUT$?j8w%C7))AG? zr#1m93<6uj+{0hZ_7v<|lhz$ORxmeTCF{RSQ= z%U#X_^L*2zepq0iXE;EjAFY6k)rm7L@YIui{+>;aE<6nYLimd>!9N73z{W@Lv~)Rp z3#!g0tN+MUk3%Y?4DxwmNmC(jq7HJewa>}o@{XnIEg5P=3`@+`RQiz~Kb)1d+aHGH z%9{A)PG^6o3_hJZk^M9DX<*$7WA>3SOeYFG30JSejL^xPStr0E;GQ3IcCAFgk9mq8 zLI<=FLJ#^0bQ+{U$_(-1i98_BV27YlAU)p-j5Mae4R0?2@|XDhx@pEACJd5@h?53f)xBFHr8Ei_0jB?^sa=>y>g9T+d-bPs(t&xpnz);Za{2tz`)4_3j?)_Y z)#AiqI+Q4>5lZ>qdA3yU$L-3lu{LpMUg{EfDR??lew$Au`$qczclO>tylv}D7X%R| z!)82l2?(MgT9$dBLkg5ASz;KMNj(V<2vVR#NhYl%O6pEbnsMm-nAobC@$|_|2?(Mf ziMAz%NfbA2ESXN_{HSe3%{aZ8)|6>iPMs!J)Qp?y&cv>!SLtN$jTJTPbUQoy(cRvC zp1aTfcmLZ(VjWTf4ng1m_|E&i-}}~>HVWftPo8;+jR~7%mYukhJ5Y386JwmX$(a{x z7lic;`pI)32K_tvaQDUBmg?LN(&204H?WWU?>MDDE&jXkY0vbNOG-lPQKz(a?JvE= znUq$a2oFuf`fhL&pcGA9|0Wj3-8`8AiZUQa4CtkE43W`$P|ZKG%=)|W2d#(5$0g zwP!7w9Cgo4Dd%-ID;oRMCq+C^b(z&xB)>AapuM8)1jI-_s6&Z#y8(~dtmHEWPw8I@ zyu|C>_S+&i3Aj!!%W~7ih57=3TJq^w>D3VWpmPHLaZo+@9_fDeIDoP=qQd8j|) zOY5JQ9CZC8Cu$nr$o%pyA@&M)e>++vNx6Ylv6?X*m@b{v*QM&Rlh!=f_fw-W_Y00) z7Uq;IZs}S+cPQ~otsrFg8{zT<88aeANa> zsJ)a}5hiCq^)yR%I=+AG4* z>ErFn=hDop;0gKaV=1lEKY}dN?P7F5pY=|b-6@yy#2)1{x$3>dH0}()Tk-IeZ9}qgxViU7W&mHR{(CZ;N!0UrB$j?I3m)lK7*DIjOxP-dDn7Y*35g zsN}7_OZO~I?oP;qe(%@h+;tX@;MX;wz;(CHUrfsCMc0BS=@#NfHyiF%#&AUNg`>;) zJAY5=kx7tQ2g8baJfyKPMH2%?LaQ=?Kt$;Xc8ZK;Cwkw@Q>|R9f>gHAse)t(Fg#sb z;}_b%+-@u#r~N9e(7rYL}mRuS(tQj)$iNI6(@;B>+?*amY2JRvJWz#M~kV4E(e zfNTl6BvRk%49D1yyyi}MByLkObc7c9<3tC-AY~_-Nkgmx#r#O@FpF9@2J8cLJ~KdM zWgW7CO_Lk=40=g7+A&zE@zVe)7&}mbxQ;xFBRQl z?8GDVxPmUqIvrSk@+%LqutO6EJg zGD}!t9Moy_@h?R&yI(eZ{6|Y)_V#(STl(yppdxJz%0%l3Xmpe&BRVlr2MLgn zg|`>Dh)!eSOs~_BEzb}2P6Z~iK%*}kcHJ;55Vi%9AvK$llnj?`!+g;}XYNIVNiNq% zgyXspVGz%fIPkQ2?{;bs?0oh!UWyml_UfibP z3X_dxJID->Co46M863_$9YBYTmc7k9GHvb~@n;j;kSks8g(c{Dj zO&OU5LVQt+qfzXuppb$Mkml9SH!_wY>_kJA6~>K2kdA9`K@h774AkjHF|7$ghCWke zHH#i#%8uMh1Q!x4MAfS&q>NV)#SHMRVffb4t(ZCwD!#0P{wEJnvX1!ttt?PO)YWz{8Y7H)qV7hSnu1 zL2{cF&_EufVO*GjSsalI>PMPc2bFJ?K!!(XO@qV-!vjP)${>;9rt(%g_a#EfN&uTA zAnVfGc)-YzrAdLq1LIs_WjJ>!0sh8`W{T3NBvsZ8N%m}g2<|u)=p2&ofW9J$SEzu< zDoZ-8GCNt3%PE(1h4qZiu;9^RRX9bXTLmRSVc=F_<|9^;Cv?u>kM!A%mk`VP>%^U? zl?^L&tr@9SzoutoMkz(=Ve%qNX5djPVM|st3!nbQj&c2ElUzevVW5Z~iJAmp_PRh1lR}Bcb5Qaoce=4TcEQx0m zxZ8lEA6_i9gZTY(f-y%L<8o>d-T+ac|FD4aYUVL#TAbNaWN z6XEJ*?n?59N^JhQsBwUM$X|GKGBq@{WoWAO9UQ!8xCN)W^@e=olX*P%>>Te6wTSK2 z&J|@@yBaI9t{@_cjgKmPtdF@26%GAo#KAwf$CDg-sK=1l!5GOgSveK#U+G{XOCv=`J7DE=3j zL&Absr?$SZe!hcmTd4C)+gClMEiY@f{@j%F-{$LF+9s@Rac4hvF6!ix*h-oCZ>UXJtJ9oTpn8!+yLYd4FZ{|iiOpjhju4mip*D; zIQ~ve(WaVYxNKl_9uYFK090LCKO#`nFpC;#?C6kbU=f|fl93>f(0#Vqiv86brgI6R zNLDq)Q411K*v(uGHrGy&w=LADtl^@O!M)n2%R5pnw*zhxu@9$Qr`nP@d=>bTP1VLJ zs9$Z|^yMyNITw_JwVzc~( z;Hy3z`9jj`SKGvI>rDlKcQ%4C-+lVsz?dxb%+H2qgO{c2SlpDI3tkuWi_7{O613~* zH!2Ns{VGzvwy;^=FTjLT{e`q&_$`WTT-Y4FF37Im7|OD=oL$q5&C1GrQQC|b^p>Ob zw$E=z--*1Y>{&%^%4>>ym7wR?k+OF~^0m?{&-W;ob}X?ww07m)_y;`p4_#Q|LBJUZ zxuw@6_WNxILSxFV>ppaVyrMh`zLuBxxx;hME^__A#~moFV)7a}`evnVCJiHzx3=wX)xoT%Bc2C8vxFjSgc76IaJVGcwN%`A%vZWyFU4tiS>hr9!m zt3acRpATlgsW0vLTnT~?$4})$Tb9LD9lcW;#&Ex1>|0xqdc;L8UNbC0Tm62`nlax4 z5!%RUV+jLNj(=}xonqwIcn37!D*pMy%!5@+D)&v-DO6SfoPnZvwq}J{22%0$QN3sT z5(kj|VNjO!B*yr|r$CZzQ3MX zGgyFM(HK8g(-P_mR*1f}%idp$Q#H2VMt2Q+w8%iT-+=GR#XUpwsP^*(X;_RbRzG>6 ze_@eZ1kvZ~3tA+P#|$;{0sh?3AlCm}7S0Qoi(mf7$38>V>utlu$NCXU;W}@1Y(lpu zZ52t9{e=N2O`XjHh?s>-*bb5;z}hv|2iKo(DDqX;62|7@O5z&w0eQE$;94(L+6!B% z*N~CBP*F1}E*y?tBQV4l^3ZxVy#y_Ep}GHe1b=S8e9b9xUD6)AL|!MSrOOiF=~>{W z--asO;gQ!9tGkTNs&QN5D_H>6KSRph*%vgqJKAix_oFowZO~EGDl(c4XDYrae_b-1 z0|*B9W~ly7Ni7B#b4zQ&!e%3_xO_sBA^-iCPkL92Y4uY9N6zyjZC(l_S+I7>eud3W z^8m;B9s=&QeTtC51x6w2U2SPi-ON6ns{G8$8q7f$JjvH`c+%cNIt8u%3d{Knq=fuN zGt7FVYxWIL!aaLni7=4-s~{Ee#uIee|)8xvZH z{ZxT5ifx_tA+?D7LzjfP!ck#pLJC@jCgPnUaDzeXTzHM}L2;2a2Wu{~dRswt>utJA z)dfji)4h%T;sq%*}qI4|uI z^9qJ722(g8s8;isjL0L|0nMxbw`U`(HocPoz4W0%3K*zpo1xyhmLSLMzhORyM3-Ny zT?4AD0rD^J>T|}Png_Rk9#!B(tTKs1ul|0NeIj&71 z*?hL&_4Ddw&*SNb8IWH#jKP(vwZyIy#vP+|WRveD1E{y3yPFP)el67d>MKTr{cFy1 z4~U!DMdtH`w~y|3{QyHd?0Hy<^e^10JS=qzL;Q7|>T$(Kr$9+XXwn<_p5)zi56yAv znj}5WiOPv%1wlNZ3<(qD$8T^i!$rC4ry#WK>3R_u(s1X@1G(C0zyDt5H3t$D$<*ygGcOpOb&Ew?jX2B!i`{fyG*>jJ z0~XaWpxpX`&m{x&4cdgV0K}##0buY9N>pI+QFId}=ou4)*Yg!Nu`E)uUZEgoXopA# zbo$0-WiUl_Ao>Pe4ydIDSos-60{<2Ylp5g$Mg7Ys-K*T(dV56)`VYd-pigr{_*tog z7St$So~gOfPFLC&E5gw=p|x~HNBZkdKy{tdcdQ2;>8HRJ%D0}ay!e9-^}=TNCHa4r z@bmv99cp3G_yqQ9I($PEdpg`ax~XOYZ@)|iqKi_jcB9;mzf9g?qP@6WS}!MTzpNb~ z&t~N=vOd*g^eJ5=yatTOLMS|t?d!W^87up1FOj*63$^DimF6y{OO+M+qTYL?dB8$p)HT)k)?>YK`vN|ChbUug{eDBZk_LA~bWvHAR zW>46p>y5xcN*)`!?6)WKE#gy>eINEud^$*YO{!N$=0`d58j;#0lz+(j*3(l#mazUBjCgU%U$)uh1KeCa)H?oir@#A=)Q z1EE*g7nZY$T+0sMp^gWYa<1GpCT)zy4-s~yG_SZk{mN`^2A*tPISDnL}KHnqL!Ncy3d&K~t1-$0hs~3b3iLPEy#i80u zGCHmJ*U-3eAMh~9Pu$V{3QZ!M^0q&F>zVR!;qQDpNK(mo#;r&VoD_2yd9`GOd|x%x+9@(RHFMn99S zGTKzDqVNNwrr|2VHPJX^dJW-r7I-_BcEQa!zm6{R$%I;sS<)x^s|d?4@@MVM?oCM z%D8k9f5X3px8-DyS1Pf=WTI#Ee`DvjDd9iMc~Q48CU+seT@oU6oeoUf!`YcV!r4i! zLMFakTtkZ$x$*^4>6!o}}H4iHIH0JzLX9B3o-5+ef$*n`DLd#Ws^Y@b5y*s>2hGW@B^5JL8nAGG`?WkRR51UAs<4h71!#Z~0 zg{tX=Qogm+8yXc_NP1_iia;@yL91i9NMEC(PKdHl(j=-TFdZS%15XyTxzeDfy(Z zG(7V_3u*6YhD6cz4rbfK8`NOq$Zv4ke^A*R|AqKTI^pS&OX^Eo78=qAA4xPWX>SUHyY#|A8MTO>`~}aonV?(sw+*Hm zc6xhM;{qP{g5%9?)nlZoHcDQ{FT|sR$29%im9n%{Yh3dwE?3n>u{*DHDu0#p zq`KtD|MA&F8G!Pf^K3w4P3%s|Wf6tQj0Q!~j=@VxOwg&0TLMqZxR#yOSX#*31df13 z$zf@!(KXaw&yWtYr&nZIf>`h-bK^lv$S^hXrVR0Oa5LtJC}pr{3Qd7tT#||kPy4sY zIYzq-$YJ2h>91@8tyP)Kc)`Z573bthHq)jdQ+dTGCtUozVP$7Q7b3M2U5OSEhvG+LNG$@`&^Q2* zuM%MQ6W)Aa$hx03TW2Kgi0+7Ca)LM4iHx9tD)9`X!paT+(Dq1{s7ez_Il}Up=OhZy z`XNvuU==eTm?j@gq)Zr;Z4?>kZ6+;ML)y2Wfy>8Ls-)2q1}3^ER!OrW-<=KI7A`ixQ2lojVWW1sd)yl*g#Pa zm=(=o;?4+wavS5*M+65AJY=^)i{d!UV-5mz_$U(DM|oNbl@I|;vk*lND>*8pBSmiU{tUv zgnx`!3+}5ZlV**b2&S{pH;~hN;bCF|*&M{0sziD(xOfV!0_-g3P%wLw3^5r1#DW9% zw!%e7Qjq&-;4%`(L|ADUmUITrsjU4O!0W({7HAG?642;&0;z&dIBVfW-9pA?d;~|< zQIIz&LtN$n?J*dK)Dcaf(l{Lp__S2OH!V|4tt7{ZU)C}P54}<2z*1-LdYOVV4ip+n zY9*-VTq9GF?l44Xe9*~DAXrG+tR=3>yn!2!;TkyR6oB$^f?cWw@^p=)az23TEuoyu zL(U*$KoW$iu$UK6z)WQ<60*`*{q%U4I-7=M+DBMhYHN!|t7jy}%}%nV%}UwM*Zaia zGb+-&TJm|Hmw9PI5vm80iwgE{nD6rpPTYHh*nIgqp=WTVVFKH4D~8kHH?{{r+}^<{ z{Csw{rhod9l4YH9Rd`aiRiz$y4L6h0olEE}QnN$@hVT@&OO2*6k^i>dTH~S*y6v~L zHQ@ASF)!Lb1Oz}0#*{!(MSJ2MZu7f+UskMFIrc1o>IllU#K54uNQ&Zm{ZpQxdnfd; zDLQQ23#xQ<@#1XYEX;9-BE_W|HtZ2zC&>4YNMHqvOC@7gmI@1r4l(!AI$HqM9a8dzw zfq32TGlO$%L8u)pNba);@pazX57@V~86Xfhmw;DT`++z2pFw%AdH!@=+m4G;m$%Z) zKXx^Cw&c0!+EMwrrQ6x80#H{9ZT$pAmio$P>+$OVB)TO0Qc%vFYnGf+>D+>I z9<`J}GVCgQmAyFcSxuqMtYOS2TF!bbhgjIcxXS+8yD%LiEt=YU@bupTV7?FSBT4K^RBggnoKryT;3ZeW zwaN2wOHH-AZgXy^t>5a}K3i&A z+~sv{;6H4$ZJ#^amM4{;hsk!|*?N9X_Lj8ReYhdz=G)HApG4kL{aeCp+l?mgtP*Rz ziQBenjb3uw)=_!;mca%^ZXE=pWYF}fpA+sxUsW2E%@SxKY*4ag=Z0Mi2X*;~@iTq1 zlr0)^i`)nh|4ROL!0W4$VJXQb|B|&OS%Ga$Y;vu*-GB4YKw>t(uQ2e?z%Id^mK$*X zzK-o%r1~~gwPpU%n(f|1{nqRsf1<-}h3&pzQ%z^hjV9<1-R9GRepzY|xBEj4*vYn$ zdC)UR)W4<8q8m-#$!Mdq32(4o^e1lHqK&RPQ*HHWMMh2H`i}KdlkA2yQ4@TR-c2k} zcyaYHY4j8D05C>Zl&g~NS-{b3ZUJwOn>RDRn@H4fi@*u4;bws&dxWV7Gi$(K?1_EA zJ*jCI5?i0u>I$8tOLDI;{}wwh;kvfEgy&**$FG?4^N%e!YtJv%Wp~V@$vS;UIq3PA zd>p&cEQGd}wdjKEDQG(uLY`j1St1LbKDGrmu5gDd4cCPX1N;Jm9%F@F_Len++kI9u zNN8TG{g7M4DG-)h#w#%Wh*xjWJ1%oxXU9ygQ|J^%XAIKmdfO72m8bRfeAktIo+)8H z7hv}h6Ly*SRuZ7^3-1)W;BGn^lf&l2eIW=}s)VBPC{rbrwFDy_X11Us6P?TkjGJo6 z27Hobe8j=yUSX7Xnp=@%M7>BFycO|qh0l@%ff|O{61sqcvjka)WEa?PNCINp zp!Tvx)V-LgUl+_z*ryQLz~x2ql|o(&_QSLnT;l~HCOPtI*z2;kNfcB6iKOKrs9cY= zM*40n8bZ82Yd)iWABzlb)aHnSX(J_wZ}%k|=|EBF;3O<8374f6>CS&@_BMW&AmK%U zy_O(<$u@gkXqvJAiW^9*0+Qw1WLrxB?Yc+#EEL+1&9?*g+%fa!dj6~7`epQWbSm-I z{PkzI+y~V4%@_c|`Id??so#|ccLfqStxP0>w2EO@#-sCAO{zG4{~$6(qg42 z&?%m|ukWj22^fqU&UakbT+o)Aat%N|CR^t>i}6^EAzlaOFiwlwChm=0im;zd3}%x` zXh~4|)q6rtX%T40znA74(qF@mKzq;?DrTGH6x4;B!9C$w01LqbaW1(mF5qvy5S*?O zK@=L_Ig761-^PKmFLdmKyWG_+p9fhxT21Ww&xP;mJ<{q!i7H?@&xVS%cg;PxeKjO? z=bNhFMM-KZJQ2KhJKE%VRevG~PJNp^bMyOMYfiKw*QCOhp= zrTSi}N80WBo(DL_c;WSRRuf-EOpx9Lw3E`ImwLi$snq1oo6Y~1(Ro46SfH^ zCx2@|f|_d#p`-$)dleyuRtVpagN983VF4P7NF6a?gga>73qj)_S;u?1n{N;Upau;D zxZsoiI|KLzGuQ`ss;Tlg{O>ekSVfQ!uty+GTluYn2H$W1Xkn@JK~ZOZPX2$s@Vn&{ z|85C%O`_3_%sZa->`%%Yplu=NUafft2)|28h4=gH&*lA-lfsKb&*&hZ%pMvVswf+S zXz>k&<7<7Fvx`QBLA;R&jh(9TThBF8#U%GhxHo(45 z=PzBH-hN=ze(C$u4<4JYX%_yjulbewFI5IZWr3LLe_UXoI4u9yFDp>20t-@)IS+q!`gjn zgV!;u4!rUtQ`^6vTdYb)8>IRVU+_;4&U>nUXv7{lSf{ii&1pApK7Mn^GI&WmMQUDR zhVjhQaMl_1yTpBCy=WNQXZbr(@CwuGQ4XP^=*-9NdHZn4JK4!Rw5m%7q);*xZz3ZJ z&tT%`+_3y*8A8?*vM^z=A88ZCL-ou1jOudHc;KJq-yrY4&?JZ@0A@uZQv`ci;Ex5% ztkdw}9h1UdkJqc_%JN`A7-jj1#&M(AZuhGjHAyhYQ2i`gRB4RZ$PTu3x{Ui4RCN!( z;Iql6m6DKe_1HMvUmRRuo8_Z3i2G5QYzNA)A~;bSKZSH`?+SZ+paUMk2DA)0>kTGacnBx1^Ih5-KFuy1zv^( z?!CTXo6sbAE;HXu?&BtSS~Vl zDrksj=|L#zzs;Zq8Q{TsEVaG(WnfG0=Va~KjxIJlz$Xdwz{d15d((enT}#WY2BdOx zu1gYROUa}X`5kxa>(3#z-R97RqL+LfY?IE&x)ZCl1-;ugRsx~;(OzxOX@#{NM^!tt z!M=`1bLhVc!%BCKz+D` z7L^9HWdRMaa<7#OJ-|uzn6A&wqfdeX_%FPuNPbGo^KKX<|GevukNC7uWab^q^oKY_pw; z@YnZo{|i_iEYIxDaFKYkC*iveBkZv!LCD8pDJA!ia~ zjmUvAE4Om?2_euZGM5cnr$z|(Au?%Jnb!Gio{_mTEO-H11rvLQTfkKHfB|L*W=%XK zvRr}1a)y@eZ3cLyL5R=_LbCvi>_iZVSeKP~AqdI^En7ajna6T~hLB_&e8$E66c~B*`c;cgA!X^s?)28Ii+qR0Km*@<{?&qx}}WS%IhW~Wz-d@9#qRzy#bP% zdKox=v=o)1h6H0aL%4NnHwrQqFJ--~nXG2p_0V|-?36BM)CydfnTcjpvz5apYA87f zWK^T#=)?m95_TOzdcd}*02BpNh|+fw83G+1@NBsJ!-g3s8mi7&BmivGp{O|sa|Eza zi&`RU+~2D|IL$-_!hWgp7byKQOHlDe>x3Vr4N5$d3f>gtG)R!jjy5cw0r6Upli+ySuOK9X zs;^2}#RVO!fO zHU)x7P@-VXjx4(Q)7%TzTS4~&f>8=+$J2scC+0Ic+~5HGQ!FENj?P{*q82t_m{=Pv z!&!qrSUO5^N{VM>T9x7KL9ZE;!xW%#X(u`&vk*FfK!pY1JaCD{mcy)>>h7t!H6*xv ziu|6=!f0qL6(x^Iq+9sC{4A%KRVpxpD)9z@+e*cH#A^1SHWpj^FhtWlaP#5hP!U*f zNKce95L(L|9hP)wf@BJkq{3AUP;KR_2^4iiH8T3O?+j$P^t24`E5mir^pUI*nbxxN zY?wX+W_8G6+6J&Jq6}RFB?ai7&6t*+w z)Dqo{7H8<6XgyLw3i}!lmDE`uJR!NCZ5a+XJdy9zA3o4os=M>z3aZ9aZO2!H3EVI! zd~e#t&WM}IV&jMMoioPBevoafy||#)akAZXbRS1Q$~?}EFa>*w2hEdH_I(|Txn9k= zAiY|U_uBT$e@+hYp*dxuu5^+Mo##5Pb%gEbxaNDKBvtHq=7EIs^#Awb zg3p7#{$G|1?B5@j46OIZW#Ii-dhl#?IMovUw%(s`EOvN)eWkF~L%$miod!q2m~zydFQ^ym6vdtdnC!TL2`YtYNKJYO1I zQg);dNM}!TP?>m5xTh-))+hcCyOb`rOY14d^m}=KpUvMJ_W7IiVcF@69{oY|{f?sd z!Zqv<7yHI+ogb1;^)IJ8hu%fkKf7x=yvF0bN{SsE=wE7_?Ys1VcFiN##ozg15B_Ix zOL(Rz%y;C=?@sL&a!bGdjjn!aS6pw<^akn2yU_l+f$6fYH^w>+aIf&C(S1glJ%?i} z+c57`*6poLPKEM)ukoESY~V}}HHE;J_AcMBe5`Tl<^6J*J8n|z?j4>{)RB8I-znLo z{k?tD_IvQ)wHJ7a4C^21aw=5++gfg$@@Z7!$M9HnRJ*h=t&E&fo-I7eMT{SDD?iwB zm&gnjTq8@Ew)*hMO>o(E>nP;awmddepH!lv>CqOqeezDeNp0cA#hO~>wAe3C8y-(y zb49T*U`RO6230TXo`AwMNsh2_r5;z)`hg;=kd=%nXV&HQdMk$xhFZDz;{IL~ol;a8 zK$|g@=X0vbk)IFBv`s0vK2qmp#a7f<<2`)yu{H7j!ZtzmosqV2JmkilETPFJNde?U z+^5jnQdFi-2<&IKh+EBXNtPakxsw?;Y%+vVK@(CVL@r3Y)yE*nMA;U(dktBR#?!@nB=jVV_sR_7FH9PW-LYaGZqKA&PxJYI3lrf zP&EhSNwAHW=FjAUX5KoD*mkaF_4&uF{Zijx2X{#<18#K_+bW{K?wBjdV3{2?@%&J~ z+|T)#v|&d}#wAUdM_oAA&+z*SDMrmr$W(nS$kw;94aj;Ydw7mJ%{^0_^>S_YUdE|T z^mQ>klkjA_#wzV-*v*BCZK0L&Oe_}Jd^;>^90wd98JpnNt964hSDp#G*$l={(=0wD^eDQ zt9LpZE|8}uYVqJuncb2`p*;Vq4!&RXX=f*Mlk&&{`x+;IyELxVmVs>d=#{5cypJvS z{^A4W9(U~(w9Jzikkdc+js5cBa2FH0$}AQy*ZvJg->AB{P?@bQU2Y8|%;kJqL8KFe&)huc>uV_(5TF}M`KQzU;`-nt+s=V6EQ5zVw zCJ(AZ!mqE+Jqgtki=&69IFm4RdvYeG*-KWmtQ7-BrO z^+$}?3Kbf=Z=x7Az|o2rB3aEW98r0>Z<`J7(g^aS2MQ9E4=CD2wwW2%7)_1{CcA;a zVCXcPLK;{CXtHdb1vXPqV{ZLEXSV>u17@D`LnKr`K3vg0dU2@Y=6VWonLL`}zcIp9% zos)T|2?D{Bc@k7;N<7FhIi1l9%9M48hUboTfDsd_qpTm%vP-N*l6aXqlM-4X#I#$^ zJXiIflq1LuGyyyU(?*MYuL5)-$>bIYP+ygR`BQ}pbbx3X$lW(GX#pOJA*HX-$m;AI z;^h+NUFxgC=sqN4c0pjH+BaNk3JSNdzL_KRP`@HUx*2oW1r_$wQd>B&P&=U^Bui0D zkZulW7~*xyrz0=IgEYaQscHq1q1Ia|6`sr$m^ZqhYb1#1fCP31BWkaBM9!45P_U%} zC4k3@RZuOF0!jV$ z0vxn$Q1qIERLV5RDV$8GGmP~nR(D!DEL=TIKoP(NDmG_;&q6s&cGfIVe$5Ck5b0ZW zw<7oz5Cj|*wGB1ElU~s?3n#>M%39BxZl{54G0t$c_)mqtjBv9izNgcbFD$4T)+}c% z89L%+d2G!~+Rl(N&KtU5?eO+NsUX|sqF9w81_6meCNCWcDGsw{`-@jwwTx;4A+|dT z%2qRzQ6{HyW=sT1=w=8BU~oyW>a((`)QZPtYt%aoHBP!f#BpL}WMqMIMHZCG+C-)U zCiOspVHLrmL(opu5PcmA!K|5*;cKeEECEhSs6u+Cm>>WBIygxJ#7GX(Xa<2sC|tBq zMk^}=kD=;jAdgULeO`c71>I^Q5DS@!7Sd@+#An#xt(4XZR*Q5&9^oKLJECP^S_?5A zr_qfZfnfvjQNYrbdi`d2Sky&e{!Qu76?F!vGZYgrg-kGrZYjd-NT;l~APIp(ldPIp z7mXr7B%xsbWziWcvA|G(fRRuv7cd7*5i`u?FwCrAZU_HY-7E;o6s%x1frEzw-I^83 zNrxnwRAI0(d&U9tG_l?sr1#GV*m2-t!Ev*c6GZkj?iIK32XP<;p{bma6J#5qXq5Xnh+GWrm2}DP!uS zY=XTxf->;Uvh`n;f(fQp?X$;~)XQGn8Qs6V*|HZe$(kDX;-V%&csOAXkMj zU(vbhRrfE>ptui%RBM%tIG&jtd~XOIUOKkk^zQ{P%9dnaOGV(*D&nuo4w#`6;1FrOzE`Q^s~)YcuHsUdut9%ctW_H^L&_`p5jJ&79|=Bov=9$kGSvbn@tRM#`ZDEqw=EF z09`rC7!_z49*>uy?ojK(UPBAXnplhDf~LPG@CNM^EMl{!RWp48kVl+Q8LNp~Oi=NX zfwi<5#zCtuY<=E6fu7S&3~_n1DZ9pkS?UJ-Wvz~{V{2A-DVx>f;1E2!3(|}Q-=^&6 zyB3IQuNGEbOS{{- z7gk2nd%Dr?FIXcV{#TzrUx#-;KG!t0J`(<9?sPsK*;G#BU5Lo5EZ$Fk?zL+fZZ2$bRU57l!$CR*Ij25v?@azL(s$U==jC^jFN((}BTLO?u6csN z{G(oin+#wg)bj`P5qWy@X9eQAD$X!$k08aBmg|>1B{ZBrRFvn@A=?_y*0Hrv?Z{6t zwX5miA#_~qiC^9F3Doi6L$=S;XTO`*#^e)N|3dfuBYSL7_zW@6Txwi$U8?>}B*&Lr zvrh01IQ|b=$UG0`Cp%%1^AF_soBC%?ayMJZcl!T@Ep)mkxC@21n@)e#|4-8K0tm}} zTRQ%h_Vn$~7v64AV$Z)d`VSiO%*fc}L9XTvcj0i4G7;K1-^52=Z(edkAN++S@h$C5 z{nAiD@>~Mr1Jvd1WZx4`>$A8YsUN3fvM~zfMmq)16cbGfIJ($&OZ_z@en&aV&7#jE zeA7hb?M6^QyN1$t>!J6z1>&Cra-~4!*xb9cKt8e*jqG^5cySi-|C-HanyF7o@6iyP`W5u6oKN_lQ z4nKmoB%Tic#*=1xCX&UUWm`~B{_3kMkMHMVg@Xoccjein-ZWx+$oKYscKQ9}T=;4v zU4ji(B5CsUfFvXs#`)`@Kp@55f?H7aY~F7>0viSqJIg|4G0U#vpVk)yxV}}2o1i!q zQOptM97q_bnSIs0HBN0G6RWZIGSB-Y!aXy=Mi!ZP%{bmj{+{JYqfg?4v6}iU!|~c} zx2yH~DJHL=Xn)%rNM4Yy3M$%0)K;zrVhC`_Xp?Y|xkGZd!N<5`+z`lETw+pq4@9YC z8zTk55geI>dO-1~=#c`X9Tartjj#Ws;Dyi`GleN! z9eZ9y)v?3JXy$f8|9r=tPI`zJPcgBax*1I|hal2@I1#y~L|&0Y+&7f#eEf0WJ+bEp zFNHq~k=Ht2VY;{nZEHcOH|!z%qSrg6Ciu-y^w*@Owg+QhfBbp}{9+UHSwwkF0ym?! z>KC(X9a7?+eUa;S$sLP5#NCb=hZibF-%7rX`%Whf>eVVW4JNoqhRVfO5dhrascUID&&Txejb@1KH0yf@O< z$oYQ2cf`;SSSj}A1QMUIKN4#v=O!mxxdX}=*F&IccgWw-PVNU2>_d2;jayUr6X+Tz zcP391C+t0>fKOm%wNkaX+R3`FaVaQY>|oJ@u_wE(cjW6=tI2ni6R_bLL!Q~+(Z6Mh z`8?8h;-P)EUgkx;&E82ik{=giN)H(bUF&37XuH1XWIu&|bm)h#6hE#>K*b^E%{V4^ z5=m+&ks^1mmp#Hcr^#PQO(^2dXJ3b8p$p-c(`&I|G&fWEaL2x*{HmOz+? zOi(yS!gQ5nYDK_ord*~9A*3QUCkq({=H$8z?DYUA^FSa8tYJiv>gZKbsWv4D6-^KZ zphdvzc}iF16KDUtg7^J_@KM3^fHcC5YulIrU2Ss2fH^542VIGsfh~E1A|k>kp5z3& zvPpoZVCXw(k#W)$u{rqZfOrWYP*`g3P6B&m!-wksC)MUo@PETB$CX*-PvWktdRDy` zNEXwKyop`PO>cBxC$S4N8$)X(5(NY8)kt3#h{#FTm9+6d^_rqg*A?ooD=QkH-Wu3k zk6w~3K+%}EBpXWx)H3QF1p_qaR&)@X1C6>IG$GguMBvCFP??*a_%wR4L9|%Cu1Q~gG19)_QSD)F?JpE#&3+Jp-1b^=O>D2#8-rI(^QKo64r9$C| z40A?RE-59&A9_o#l(H><$WhX!p=njAWS3+)juj<1d<>BzXeR`SLz*ky%g(CGWv47F zajclM2{gox0uH1hF>c0mvvX`k%_za$5Vy&uS#~=P9!}`V&SK-vh91t&c@+BEGkcvM z=kK|$l`q#@`l>Hg)%(2nb3gYzHrQMD2hB6paUT~x!-i|GNw2R3jmzwVtNxVFe$xB; zqaNRr#h>>7^-zn$26z;I{r`{0m;dx*N69&9S>)Wuy11XWt7~$cCXBiEjO}h5YmV4D z_B0+G3tW<^3_BR^=I5k2)^JGe9K^4SWjwd(_0K2d?|#vedl|Kks~;*obA9D25xp&T zUHFvFJo}Hb=cnwiRz|D`<%6#BL{BF-NKq4wXwv?-_#CEUAfVpTI~dtGa;$OK+J)K* z`{|}zQERQVel##33_PgRsI4+SznFle`9Y?uYCjZY_pAR#ZSo1BMrG%IMl<~?E2JcQ z_V25*GnK#7?m5j;yylS-8lZ4)iHh;g2Xmc|jfR0IS0hDx@7y_x)95sJgzmeht9hTx zV4s}0wdb38LsbxLm8On8}c2+<8SF9jnLSKGNaJgLEwlE&cwQqZ2UO zO0J=*miiTn>714{X1{9+#?S!b2E-DtT(7(L>rFBCBOcB3so6&7`&Im4x}hNyUeb8B zmFJt);FWxwmDU~DLystL$(Lk+?Zip2H0<%k&r?H-M?6M2GWSyBGI(L6crm<^HT9iU%3FYN+zc8d-ga7b(4DRKr5rV9#^f30eAUNqx?D z@T#sfiPTV-;0gyIDU6Ucq4H(@dN2ACAHj6B)*Rj~2V>qdF zMpCKxwr$oU)^Wk!$Y97fH#I;q(qqDTPb0!A-o!C5BDVeWC=-7jA#nEca*}nz%5v?P zx8ZIyfie_frO$(lGd*e#yiPkvwMBN0Kqt&h{MWz0_mNFB+v&6eI53Wmpz;h1WVe9` zhUhe^koaWEA53a$J1;}7gQNPwUdEww-C2am79lmnxK_<-9aWBR+*7!K1+ z$9<&jJjGC(d=|hD%7qzuV@0@i8fIkmGYc=iI|GoPMm;vZtzdmHxdAI*h)^uOU-vYT=3snB2apVQQyl+KXVTJ>F9?Tb z_yMid^lLSENuHgp&s2<%J*6ApUo)iYYb6l<2EY3H*$4IRzU#VnX}k}OEB%d)u2+@% zyhLnboQ=FSQ6p47lz80Og3#fA8<-Ucit|%ZHeg`Hr16m8?67iu9m050#vq3VdQ(^V zVZ}VAIjBY*ujQvlSpB=lWXCvM3sguqso<+HVh7nL!(kx_)g;nEmM#_ZdQ!f`;x39h zJVFto$`f>Bk7CfQ@?{_@5lNQs5%QcMOCbaG%A=bOj2W!73?vm==>b81$mKJ}_BP$v zWXmwq*w1u=UKeK+q~2AVu(R-(`R-nTW<^&}XrOT4Q%IBK8u}myIMWPYv+Tc8qXKlP?eWIvZr2^h!A{_X17t@-3M291J9K{c3M1kJ$xMoD*q z44Vq9ha?H8-;M~t=-P|LcR7bjnoSaAc9V3FByMMy3A2WmLQgp;ZWSDAEli7s9#UyC z!s)GSrRpWbhYT*B47?!PWfR1a8+e+e5qq*xa0V!{l0KI)qNZU{O^PP}DpB)mCjTA= zB3t%ggBgNDJKKcrvTMjkF3`HMu=emkmVXwvr~Fs24wXt8(sxGL4#kzrmG-C%@suw zsUrd;7T3e4Sq{Qt^~+}~9Y!JySu$=$Fv}{X6vt%*k<@feHvq2yBpm^qtb{#JkpgU! z!S6Eo`>;uL#Ngu7fJ4jo3NWa{P%~&|z(s{1Qbv`aIf^T8=ngpv8J8R1gL8xd4-h{I zmM!cFI;k`OF5huhH(E>^Ocs;PMu7)+1_4t^;_iSG&LNQ~#WcvYhSQ{GPS}MIxsDC> zlVOp>gbHVwE`}jpa6trS4N(ML`SThlseMLi2P83gLUyg#-zQGMpd_qdk}-2|6iST# zyEVaV;--N|=2uBVO**u%vj?Qi0H&d5h}O;x&KW^hUSo{JPuL8s7NboaEI2~9!!X0tq@!ky@6DB z1WifT^t=k`L+^M5=N^7lxbNDRV&MC$NK_A5QCTlv;xmRwW z2GmG`4Rs#Snxq4Ha?bfVEA7|O^B$!1o-VrJ2>sbmT2L4MagP&5248?+y za2j;PX@?5q%?u&6z=hO8C()=o3HOc4xG;l3v@L|0PMBCbsWQ127Gse!v=tVZqo|aL zD9{8!Koj{gb7Qu3F~++w&uL9M(7( z>h}FGFZ^Ay(ycbzRrR z1b0>bxtmNU$evap8`pbwa5mgf*J1%E3{mV*5VDDZ^8IbZr4`eM1e%vGb8 z7s_#2;>_&va$nhFo*SbV@TZqYZy+csjMRQmK2ah3b|xS8Obq>YX7(bk02HrqW|mt^ zmleuCX+v*6w(Qi)uSjb<;rWRD=&tYOp!uzGQ-DZ)L0deQ{)6z9B%dm973^GLTx;<@ ztk#<@r2vQNs7eRtW#mq8I+aiz!Wgs+*z_G3fk!)-Im!7k7R6u)L7ZQ3FpnP{Un zwz||@S-K0@%$R=L~ zNyd;RDl%&_w(Igte9{(|OZTIrij>}uR5>r>9denB3HU#Y=QJ<^hL@zxnm(O)K&?@o z`m?BnOfv#4ns6Pv4{sk;YLEp?&()~a{cU48Hv?c75mF^G!o9DuZQlFdzDkiEMidGl zzd&iUdnk}@m-z4HSb7?)!vRK2&vV`0n2^>0JDdscXrC@@ZnrGxPa<~=?sE^%QH!Sy z?s+P&?nU!TUiIhYzA?@Mn^r|H=(Fx1wV*$oC{80y)Qlb>%S6}eWEKoVEMPxQz+i7{ zJFpEa7}!j%nFdexWfv+_TqN8!k0fAp*aX^BuiW3X+HFR~u{au4+ZghRU z(RKf>SJJb6Pm9;on}v=&2Yi1-{Gk3t7ih0-+Wpozd>02R+&5J3nKQ+&70UMP4>@VO9625qxY1_gU8O; zjxS_ZLIwNVKVNc12DR)xAhG<79DW(MwHId!hkZe~cYg9o-L7Xa%&_)4`M0spU;XB| z{V;A57hnC9yDl%|)>q#7ljRB%dunYyvWZ-BJ5M=^l4AH7Yn2kx8fs4^h{`vFp zatz)F0>ZaTF?~6(jN61~5O`m>zEwwqZt7cgv_)u_Td}rpGW2b+w!+KM{{KX*jXQLEYj%ID9JhPEC>&Sv z+sg{p7U+!7gBR$W+>b`NrjZf4dUwBDmMGz+n{{AaeHc)(3XMj;tP;hEA|>&=9Hfozm3Ws{@_q# z3A~UZ17~0bs7xLxt_ZE^{b0np2zJh}`r7!>EKF@msz2HXtNt6DKBb+#PtB$y=P*py z2`%4+5>@MD*6CBN*?rqG8M^9m{Nb-x!>@e%ku-|M7Q;D5!1)6|JaCS0KXe7=i*o^E zaq2LEZu9L2oTT5*z%+8-I)}I6Ua^w?gZf*PbC+fswL!6D$wOByjeF^qhRoA_&I^3C z(sY21WozkjUaV8S`)XOSZ;B>AEFnJ&e?D>zWhT$+om9_GG18NHFO<6}J*pjb*6>uT zt^~Ut)LP@&byl|=SDWoU%RJMp9*mak{$%8t3_98&Xbe|%i{~it8M~%V2@6^SrMdEl z-x70)C%fdo;cb)X@xs%o-Mw6kSW>>&M5Z#h1^o0L0oTvb&hY4G;h|^$s}xcU?u<|t zt}>Q!;XFPY5{Edw4H(f^C31(R>pYO{_j7bsJRu8#2gM3;M!H&pb9jxunHh)Tv1VE7 zva{?A*Tj&gNLmfh9J!O^25`d#ACBBDTS!VBm2?_8P?i<_#=Vy9Vw&8NurT?(Iz;Nj zap9~+1~@s9r`TjK(k>u`>KnvL^7MK-LJu{5B;WDHXy%YNS~E$1??C3gd+9V3Q2lsf zhF8-I&OBS0P@RUJJ5Jg+>Q=R6BE?}>qO^!b_Dg@#2< z77k<$gEELpObOjp%0qaqujfjy!XR#9JQ{D|)?Xisp-p^+9_SG3^y^|yex&niW=!6_ zn|jlojyDCI|9gx31cr@HaV~BJcft^1=T9GB_n)wDQ%SwJS1^?PkuIei2+c_KG3%>> z`&ASI(WO#~adeO1Pxm{&v;hT@wa z^06GofqB|z^(Mz`vLl-4Y<0a1Pt0Z_?)d?J8-3N3s0-?VRX%V@hw~yMdnEH8gtzC_U&aKO4ZMqITH2sjKCu2N- z@=BhwdEu^ZVp=)GIca%?$JoC(ZZkf|EIp$q=dE6G9V2cMEu%qeHyf-RrMS|V6s>0} z@J+CdBwE^)r_niC`iE{!dA_7pDgCBYrgQBV$aQhx_*u1f$qtonG*H#<(XS)!B{BFo z|Dv=(^dZj*CCd6+TE3@mJKobM)C8RnbSMLjmS(CNw|JhGcFVdrrf2kNiSN~be@J<9 z?V$|AD4|cdxgdvTIL$3J`kXy|KYD$?sx^qU!&~mw%zxmRXz5-VMVY;l%uRCbrIm#Z zg7v9Lu#?+Wpd*HA<-9OQOJWp8GkwMT{4KxX*AD0(2HdYIYq;Bn;6q<+&a~`1!ZzF%h zS{u1OI#^=AA}R@m4X8hC*u(RlcqkzBEv-39_~&?;^qa=~5@btpGX~ogsAF5QtqBQ90HK z#TCGSTMY{nWvgi9bf@X6*BhWTLUkxve3de#jUtOsUpsKsY8b+A#44hR9a#5=5NpaI zUV15plN4ZFG-OMYBw-S<7WM{|C56{QLwa|1P81f(DOa?qE5gcPt#;fO?@z~xL4 z2T-ZtjAkZlsaLfjdYVcqbUx%UAwm;Wzj2h&f|xQuVnu1d1n&U1AP{g=t_!%0Es4MLX<>q zRcQk&C-1J3=r|^{D9BJ!9Fs%P*_m%k+6pAah4|HuRZw`qDr|k;X@mkVqQ{ilD6mBc zj0Q&uv$5j{D^LPatp)e_9>fZ8IQtDm+JFSrDln9!7zrpg2!hS9l$1JE0gAD7)eme* zmS9vbCZ=hb-l0Gk#`sx=6*#O52A6@E_WGe~W#M!Xhw%qDC4x57PMxaps5w$R(WiX7!wEaKZ%up)e9F5ztucdA{GM`Qe;(7oD1cGz|eY97=*H z(T6zTlo~XNod)Iw9QzNi-^l>vY8FHz5SfW~#;)Qa$#SPCL$SM0_sCefz z1}fx3?mNM*|_LZ5}ZyclHxbH z8G{Q{%>=@VWXQjCK?I1M#v0k&lS!GYI#kG*U;^$~4l)eO3B%EJs3NuWHypt><1WFn zEYoY$An=yhOYGKHzogQ8BYC+wo{mfMD0?!;KB=U;ndKOs%=Qju{?T>bAGypbU;dXK>wmy%4o zhjPCptkWY5zoBnH5X3Qi442D+aWQ@nUmY!tkL|#FAMTmsnYZ1(lF)oyLR*LBS9|uq z}O0J>x+icg5q$uTxN$?Z~S1|1{ak?t;I;4wF3?=z-1W)+}hw?b5Uo?Eg z@utmOk11RfyiU$>yv-}S#uO%eK+P}~(m6 zqSaL!Di2$?#az3Tat>snJ~;MW5I3(q|FYUr*_Qcy;znhIrB#0Kn+(@n(ppGQGGor# z*~5jM?=sia8~ww$g{zgz<#Km(ldDTNpyD<)G=!X)uxx-LH@%&A6@$gtlL4NN> z_>-aHm`qmY2586~1OyA&_CG5JzjvVH~pW|;v zj;AK%rfa7cLeI1{&o=>0@+aDB5~}E#5SGGBWemNrBr|Q|#A6d5ykHN$7oRx)bqY*D zUUdKRr5Z*Wz`uN{)@Sj_gVF;1OEgiqRT!>eswN5t^USXa@x+BNHEdJuzDA>RAahb<{3uApKV4>joE?NWjc3&UHT; zU|tK>ML%zt&|&d)?VZ+v^q|}oeSE!GYz8;3T+Gykb_s{L|AYT}LaUVyf{)jC$Hj}+ z`6({mlk(teJ=xjcr~r|tMh{gj_Dx=VH#07FR@jroo$!#A z8tT6!y&a!;Vk$MmR7ev$r_?XxuXf>I_EocyZg~=Pp&Du}KZ(7DYZ@x(uXZVKd2Xpp z*@joF2QKC?SjX;K3ez>d2cpjpv8_x+Z21Z0jghv{QV@OLIt5bGgVOSDrCM*6dnUtE znSZqJ>)H^mrpl>7X6oXtB7iw)g&7qWkh6`@K+RTn zPjXZAyUfzhuVCwvZ`Yx4Z#33MUmj1O=JsHSU)XXJKXEu^U8Gj<&co{QTfSXw6QA?^ z5+k>UQ{&D@hvrYkxN7J9yDG-tQx8~|Ho(lo+!I`z`o3&k_Tk`mg)R?POx#pb$G@Of zTstekps=;IV(g}(rV5eP@ZrqgWgk^9pUTtrB}%RszrnxjTXuC7XQnXBlsyP0IDlGL z=;I?Tfk(P5zfeaKk92MT)hcZ{xcmE_cQZd?IOU~9C`bQ z2;wOG7h4#jxDTo8cTwIiL(JKg9wsS6EH02g(PD)!TYB1PN zTc{y621;e^;b6O^BqqizaF1h*Ju$HzJp3$%9>xm)+aQ?~w-^+V`l|D=VPg|5Vj3s} zrJbUm?xc$_wPkw|!j2AEAP_etJ5>ca)Dbo08+_7Q(Q!`jiH~jDTA}TKaG9}g-P%6@ z?AJ$Q`&)j@W}x*2)&aw+we@d(b!dqBFuy-MMmk7HevzhET3cW9q2Nj+@)|~4i_b8k28Z{D>21MRzHR>etk*Vz zAC;c%S_^t+@yEWi@gr&9u76v-g$u@Jfvg@49u$*Y13rSQ8u(sa-N$$6o-yvA+6($b zRS%|kZXKAdl;MB5(Kg@IO)UxHI%#M7P(}8K9UHBdr4aiwYhXzTypn|yer6>Y_$4?Z z$A#ZdPKGXt3&L`1co4UX)|H4nFibZC&u~SymNNm^yMt1ZPy66ok9p}YW%hJj2(N_g zrdEwuuTwu6SFA-|S*jJn=(8~j6|4R|rY_Jz10l8iSP8(x zbgXcE$X!uBA9=kfwl*(~+dRt`WMIv9b6*wYHgVj`4Qd#sALeVv(b$Li8@y8Wr-)v? zcKr4Earn}Y=c{1)H`||l^|-RUz2nKg<8ff*g2%GAX#Mf**x2p%->PG%_#E`r#w+Yg zvR)0VD=Ct0`HD5q>&KNpb#I61zK}j%=AP4g$Ge?lG1dM9?yn2#gWR8hs;i8Z4Ccpe z<5eH);}pN!GQOREN-n+kWev`SqLy&+0l|M#hEpyHLpOk3;RI2O?-t+s_7l7V-h~Iy zoo>HeBxXZIUVMojEd8qz)j79zUsujKh!pNM?*MJl4b6PpuO=Hhzz$tF+1`AR4=k0^ z!ar=F-%{SQFz?O|-`ZY^E$(M-i$9$)?wb6Yk^4&(u2$lXnq2SHFkMCNoV49;7+JBV%x=53H_Js`ni|Zim!mt3u@|64)Ya?HijLXI);yBaJY1T*4SokSTh9V9;4F`q&Osyp?Ih= z!2^K+n-}>}CNiT%l!PX=ax!73^%5j8%=41e2-rDgq-7X=i|nys0k8l71Sm~eZQ+@W zWR?!#QL(8V&_ClrR!>v`v4IR2)-ke=@JqC6q>l_GH6Kqn?o@RIiMoH8GH~S$B{_7E zdwhhd9DBgFkDp<0P(o+E0jxbk`&ru3h-aJ~)s~5oAy-efSFv64(CwP-QeOkgQir%& z#VrrP;m}HE8ebh^lIwYULnS`1Y?06E`};C{bxtWg$_L{!n`4sh{YU6@5Xa z`@B@EvR93}9y6%Xos}6{%!r3+vAP3ycoyjee3a<}^QfLbct!+F>j48@I<7db%cs!Z z`DKkhh&r^47#TwTIYG$Sj#OZ~b^sMri>ZvQ;uR7krNq3LBF9p9DA>%eb{and5s%)g zNEX-Uf)mK=BK%RUlt)orrc(--W&jBfgq>5OF*(8h%9A$X2EwAOrd2=qK$1y*O7Nx$ zWR}CE1b0))hir`^$Amz`XgH^}Xy_=o(vI<*ij~dSF{TL|EhJSPXe(mwH(zZ5F`K<$ zF2~PB-RK%6y1e+s$r>qlSo)`)%GsuM_R{{58NI0?(;61}A@QDsm0qLwWYfJ%s&cRR z1Xst(6Piq&bB+GY`x|;gek{4tOY_eDRXOJc`SOH127p+#Tp@1l>lq%q>M6i+6F3vi zTs)_kj%(+*MPX8PspCcl7URM^aZ$lorzSSf_?v{l=3~(JqXk$NFYPJ9&JF_H1SS+`d*4#@s-3 z?GhtC>QHGaI#vo2Y<(*)ih^CZMXPiTO=%}6=j6~3wQCi#Inis_*`@3rQeo-+O1sJ; z!Ll+UVjlHupf>^AC(H?BwjIbf%MD3X69?A=Xu0){Hp+@KF0g%#DTgUSQnXn%NKj-B z3Qr~_Y497RN~A)qI+>zqiNKibB#fC1F3NO#Uoz z!PtHV2G119b)-RRN&{gpYz7#^NNd1cgQ9U#dST=-2Big3B%}o7Ed{U%7m|4z99Zf( zhkQy(>WXA=GzdFTC`PLskO-lB)e9~TuzNG`v`C%p0Ofx}pC;YOYmmnBC&c94goLC- z32TII*~dznP@jNEBwm#yof(v5)SSz&8Iy4V2Q#vn zq#Xzdj#!tRq-2&G0nwuUK_jamKirn;X~997fe1x3qzn!dawvu-BgTp&K>;L&SytYm z`jL?sP|^ksZu~6K_8x6oO-eD&kE-nH|IFjgJrBH5@T zSdj{1F$BmKm?lvNd^eS;4$&1_i1t*Q#vRC)X*i8ctLQr za})sRq647O|Ess`@Yjg4f_pP+l>1n@C8~=F3JXaGaiM2Qks>&{BLRK z%Z+Z*-z7nge{~Olpadr~c62Afx5|ve;O9jnt!w5CX8hf}NiF6fRz=3K&Ix`K3n#mY zp#9ew(C{UC1x6=JRLYPf1r>1D4A*C}ngGG%uvXMf3bArtI&W~qTmb#0rJM*kf50j$ zNe6(Jy1!i{#uAQINyV7f41St1=^_EVWP+iScd-s+g2)vHifIs^A{84+sJh=60wuqC zg?GXM6X9qSBNylR4BD*nUp^XkSXW(TC=)nN*qa&v4hDb~)cX9S2e4zHyRs4n$}7Y( ziUWkA6vmGfP(Z-4ZwIpjESk)tOfj}mC>_Y4Qt<}?+O5${224fnv*swqJ)od`2zC{~ zOh6zxK;My=XfnuxXqNN5G(64wae-l^Y0W)@RX$)a`@r9#K|(DImR(r}D?4DX^?5ZvZ_+zs*HZqFrlasQgV<&L@D5D?CBCW zi*h+;CD{Tc1ftp_tZp)efc^^dg^(~ZaD>g2TrKqHIpEV0u6uuc#3I*NLOy3xN1$gC z<#{IEAiX8eC|`&pOv$kI1#M2zAlez#2T@ZNQEgdaS$uxF3CNWPr)Q}_Nt{W!wM$E|hVBVRax{xlGA{99z!{1EC-%Ei+vujd%?g`pXYAUntVB$9YMcqUJSz0|plC zmC?L*(6=YXN3N&~QrBpckCk7u`2z*vORG}fFzXd`mqDzg4zNZj8mLqxpw{V6zwuX* zoYdDYJ#L8Zz#_f35ge)#pp@$=R5o2>jc*EAJ;=~v%IB3x_H{o@ie@`BzOB!b1 z@|<&buCP^(n!zjVEeKj+3qQZI}uY``o3x z+=A9tmi@Y>P#3=@d7 zxPjp7!})MI_cHo)_F^+Q0bk3^UTo#s=+81YMxSGrB~+KWuDr)AW8tM6$`rHg5o*qt zr&^$b{qtkr0E44ltDCq5++1g~8eXBfUtANv5mh_)Rj5CFgJ8)yqeA;;>IydzG#X58gBB412__U9eJyDh_*V?Gd z-plB^aEp2JktaOkN}B@Y*z44vm|d-5T#m|jotahJprrYB3pMn+&fGu;m?d19hR>E< z9?qvgF~8)pZy30_ZAX_APt-xF)d4^nYt-tKVDDVBUj(uupxOd3WN$UN9vFHB-xB+t zra?6yPt8p=jB%;!p+;u+a!!9M)FHLFt4p0>@nKQ`!EKt-bL02Ge{ zRd!?VHK9APu(68|9N5#{^>)Uqo!>7nLs_)F`$>?E(sD^#MGWqNq72b-ibS*k>w zADidmC10xYmtGf@zPlm{{MTRxG3mP=05yZd$AkOPB?{!*V$w_bjMbQ4ZcdhL4c9$W;AIGT&}oA?Pu!&{#o0(R&p(K;PrhoBo}9D`R7fw@rq;H-aufO`&j(@ z{D|5%cQdkU{gevy)<`&Y`o*^2-fWdw_rg(Nx2AsNTVc9hIrAxZz&)?sywGv@*!?KI z+&{ACUEfLqc(Tgrz*C)d{ONw+)xHDX#gXgEZGPxN^lR^~53}>!0(chh8a%V29&4kQ zETQ6DU+~iND}@K^c7e*p^Mm>YxENQ0p|^CPsKZR4dZZ36%h1PP@JpHD=9ynz=a=Z= z2NVG$5Wq7%H++?voLK}E)Ryho#u->_&x@NN>DqJ+H>#oU*(>3)E7D|ll`H{EHapB& zmQbkoIA>ewY&iu8un2ACb$RaNn@@b8_N@T9H=AA_W!iF30)G6nEx?T}6iKpyuTWu7 zyCDNFwE}3e57zPL!N2<(_IPz;i}=*Vw@#mKtXh2aIeP4#vd}B5rv zZ(6?P$W~s9euXZ;4j*f1i(Ue5Z1`!uJqBdipRF9DgFu#Lk3V5+4OUEk!|HAFrPzva zp;~FN1^?3v{JUCw?vQ@`mPQ4QnR2F(nj9Xb!sCUMA$%rOP9KrW?bQ_ICl`^SS*pv< z0)6ghOAzd1y8)ZY93ot#Ae0Ga!C{;EVdgcko$m<;#PyS89HXO zQTmoID?o(kfGG7gA$GH#1Y$%9i9pT()IS8#K)J5{nJ-I&%b&5=H2KV5fgl2Wp#US# z|AF{Avfme%Z@PAF0Ee5Bzd9jGdA_Ai#JPaOd@Tmy!5T@l`2#ozE>EAiq{%k1x zzoakm@k>7Mle4&Yl#_e#C|4HgA60768m+%_DZ8{rE7D!*@BEqd+L8JqK6kLldJW{{ z0gY{O*2n{CAiZ+M7U;1#`2*nCa!v20cL`OGYpKf7&fA37P)~Nm{y+G#x7oHue(d4f zeA(hxfhP;kkKg9YY6DZy#$nx0z`CucuUPkE+xV5gb_W~f)IS6=Vz|J5SDh@RMvF|{ zs?jPL*29UfqtSiavKipmM*rpOzl2kv_$ZE1ibI6rBT2%*>f71>Y3k6~|LNr-VX63t zY*ew}6#tHsoCY1f1mTDCr`PNBzg&c8w9fm*%c z4eL9L;yIpt%l@16_j6uc)vjE4ad=R)HNAIi^5SpBu}0S)M_F&p=oTotKWq=iCz?wi zVRz3d{AaoATO#}Q_KvrrpB_kj!mQP)|A?p;4)8J5#?O^fOYR}t;+3P8@11#jL6d*1 z@g3T>A*o!jd4{#MT3|^8#)l|&HfcTALaMr=i`V7!&tJXP^|5$axb=-l2rjw+0^BD!M?J}zqwa_r!(uDPI8`6E;XB;df;{axFK`kXXMUy4U~E1haW7##Knr9% zS3{@0xV6C86LcS+(+KM&`BOR;CX$WFJk(ExXoVKyPB5$mS3)3AHN`FBRrX*P3_2W5 zSsypfg~ntw0KlvI3uf5ecK!Y@kfVWlJVy<1ek#LL{bVma5m}ugwWG+};ywR;z?5E_9=0 zR_hg3cqMXxAL=b-&jEvHKzHXe=mWIDXI(2d>$dj3_1l%61H}Wnc33!}i&m(ia#|XK z4kKufCYdSv&T=W?F@Cv?nP$aO%_s)XN#b3U6*yfWG_O_+O>V<| z%+ge6(oIbT8BSUexK9nX85i3&tO-Ms^9mE5SNQ*|jB8=-p8O`^W$8;%^c=ift;^0! ziNbD1d!H_pr|LC5e2>x+(JNXvsJUxl7S$|9=J|1L@L|c&q`J?k9}ka5^!LZLno+0r z5`@CKI-nHRjTib~m-{B-agW$LHVme^7oceKEVHVXDmCfq2db(UC}yvlGbBNj(qp>Z z$*Xly-@ll*Fw+9q*-#}zH7;ftX~Bu0p$Ulh(vsRAuyC};rcn*Eyhm#_HCI~xTCOC` z`#TFlxweCD2Jonb-lT4#t4Q5x|9_feQiP8Y&G=hjE;pc&YW@M#8B}eK&2E~BEF(bM;6IjCwls{lXtrWcI;#p42 z6u`w5v=AlJ41juf%CbV_5imM8L&qH9#oXs#{gu~mq)H9Jb|6eLt3oF0JOl33P;l%} zu1gSx(&|}SvKj+-@+cQTU9`MePpZo8E#lWo}PHe#L zp7S2{8xZUDbHdwvFHj0*6o)Z&C(#q$AX7be_pnj0sW3SJwQIf_4ju6yDBdY$&?Nz(^F18l zX)?WU(xzqq#Aj__UI5JUQm?#&!G6#Y=rJJ+9>*cTzBi+moNXuph#4J4eI#~ha8;@G zI>==f^UO3_?fBH zG|f-~6oWI##W3UmGzT4zmm1-1Rgq*YsDz}3WTPqxMN(mna5M0B8Y^KP^cvA;g&z!}EnBNF_ zUOfqN6f9DR&FWsx@a8qw;32WKuaw@ znU(+pCIX-b>oadL-lbPoL?grvDApW3~3j0d{x6*DJ zA!yfaMH0A*1Py+cKj)++`9I_VMuROBuweEHM-)f7k;X)&k%$ul1Ii%c5iJsDc-?WA zUjfBn8O&8h*$)Lh;t}u-?jI5zoqTc%f&mq-!z2$0s2@z>0|ogkv{%$)K;-9kGMTcMBP9R?x^pucR=c+C$K^rEhUjO(qMd0eq}aSksH z{OwH01X)G{QKC?zbSaoU;1___#5zIypvl0SB?C3xBcPN-5=T_Hs;gt=vd>m4E@kkq>( z?op{eT2i($={qBc0}%tq2;5~&M89NGDpxLNlg_A~L=7RM}k3b(!ihaS_~%? z3uUx#p$KVB$q5RGuu;Kc0G<;PCfG|7=~98-KjwwpR3&)=zQo;OSI83U=rMJ9*hQnyo^zAagt>2O7*HI&WWO9x7+ny z5Y+~fvmexE=ahL4tx<{(f)OEx90>yHiv2D6Uhx^m01;AzU+LU&)70-k?u94|g1l#I_76 zHP*l=H=qwN)xuNUAz1k>oM^_L1N!_*wwuC|iGZ}10Bo0FsOqdKwW^S--s*tQmJ!_PdIZn%sDZzW|CRVPsLwIh5jZo=7(5)Eo0V`kE_8ml;ro*Sty~V2n)7EFOG_Py&ir z06T-0%Cbi|RXi(Ke4kYK+K;Kwssyb?9ze@YBTO36~s#;8^rseI)l?!`!@JdexrV(b3J!@LPQG0TM< z^@aq(_BF7NJ$sC!OGdjoo6tPR@71vXa{Ht*3p}^tYFHtN!Pve{Y=ta&QOqxfsEBup z@&MIoPmGH9iOY)izK zQJpm`%7A$U!HUohei+|s29lKF2qHT;n?^F=M#ojbF#vuY(rO}hJ!u})9trr&6Kt@d zU*;H};VMNDgG3#Dwda@#kBTO$nwK#Mn0LvK3pS%~ilM+9yaY+0WD{jzb4$uZel}f0 zacxpHnL$fULYhmf*#bU_gZRN%CoX2FvXB7OwdlBzeE~C((Lro-X%<69Mi7f+H_Ujk zHboK-fIR@un1QSTwD;yfgT2NK3h2#&>YQP4O-I#efmphsI(O zmkwO2@w1Qe=1=_NFG4ZZhtwMv0*^MD-|IX@uZ^YG{5EmpAS&K1{IYltYL2y3{C!@K zEtTGUKX+Iw4TisAM>3Ex6w|U+q}IME9}aEp9S2v(ChzckCyqQzv!&CwR-9$xR%*U&YwAZ z3&i-Abig4}(0sS20thDC(Geh6E4U zi)GWscSI;ZrS<$A=#~0Bymb+>D1(h1p@GN_LTYN=mVzyS3%Lc1>_T=-5v2l2_`rq> z`@Nd<@{4_yV2-*TmXTik1Of6Yp|>YvSDZF+jMr zgH4V;*7@Pp zf6cJqZtP}W=oUA_)yeBb1vZ70`U4ZVVU2M9Z@^d9Q`#hM%-?w=zr2w@!>?B_Oo-d1 z^5KhHgvX1ALK)Xf)n_&TzcR@Rao+_?O!Yrp?c711Stpg|=IoL`#fPuru=B_DG5M_! zUlNZc$*kbc{WNo6J?c&DkHpqaQ#(&pjP|n2V`ncUH1J&Cd2BZGi6`u2L1XR65M3a|ZA;8U+py zrOkjmCYo-O+-e=4Hl#8N(>02eRvj^*x?u6Id9;;EmSUpRaZR>vh*7v)-~ccaQ^E;4 zLx*Hbpp7nNwf=}DDchxbn?K0=@AhqHe$IrH6+#!|++{0mv|K5XQqYY7 z{ENlBiBbgBaP{m#>x9oBv;`>WE)4?ZOHdV>ErOK-E?I6IO$tf8e@xBYV;&RDBO;o` zJ^lZfHtA@QBUteNcb8Tc;Y49ge&)y7`nTHL^<+{Jt>qW#F^AatkW!vIVyB~HRK<8} z#%R3%*XI+;#jz8lXmH8B4ejH&kH3grnq!G$wM2H~Ew*kd9rawdi;mq)QX__XH7_qU zf*(MhINx|5>q|oS!4z)zMPU59^yo+Z2Ng=}dyvP>GxI zI2dxYji)S)1$IEOx}~>StDcvJ4oy`UQB^stGc$ECuL&tATtDEb zI*TZCiO)F(xdU&I-OK>fS}$%EFH-l8 zA+C3p&X3_$?Xu%tu3`oD_w$G*GAY3&Tr7^YE$yF zt%K62-RO{(%3%5~B7;{C-&p}(3)w12jXbKSIijQpc>%V}C`*t{mB~@qrvS~Es&?r) zFxKOEf^)Ynv5{!xe{WPL#aj7iz$RGAc`&9-&yY;ELBilnMgcY;N4b6g@V8?&e3}8tFpV12Rv#_7OGIm%?lhReS^-uF zYEqT(0w+D4JM-~3sJcNb&}@)H9I%0u={iw__v-2d-pt_>lstts(xG#E*+xcdLwb-d z1{f8*dP*sUq?)4`WbnBv^aIJb?9+cB=ri^AYC2w~OdyOIK_4iH_Yj(JoFo%ugEg!} zPyqz4Xq|eyalLEJKo3E4JSj_^HH~C*^TQk>AFtM> zc!8aI*((A|fmhV^%R}JURu6o-w7!lC1IRivn;R)7gCI{~g5%Bl0@168dJDS*)O8}w zmqWA5JrCRvOq?E6ngl%{Go;;+^eRGt29z4DBfznsnrrOmK>dTV8D0JuLX64iQaSTI zgdEif<~&kISbduwbln7qZv+YBAMM2%dYY;eO`53b{dfuXmUB9c%KZ(%QQ$S^&;4Z! z0n;W+5N7CI2mq2&ZoO1hM6(Q7v}3*057>`Zqslt9q{gPiL9Ww4fkSQZY+*DlD0?|l zW=uK`wv9{;{?;Vw9HxL_gQ|j*RSID;SQ&MRe0se=nC@b|dIvHN0*7v^UdV-KhO?u% zeJPPa>TNp7t2e=ewx*PwgfEUY0k$EKEUcxnMUCV<;5tg5Vml>3$*(|V0eLOJy%1=1 zJN2z3-=Gof2RBOeYjvjuW))3AFj>@`Xn@-390&W*02(GBy|LU-Ib84F$Cau zgLIXAnihZ;!>faRXOie=U<(BTpe~zVGzb`)mkUD$p$euGq)~Pl0orU8<%Rwt^qX7Z zwR%~shp%CYQB~kES^Nl1m1=AV!hSCv4Rzvzmx~0FmUebObx2_ z9&UL{v(R~tI*MCZ-D6E0vxGeBRkay248!Y-D5}_$sQ8?`_lH~ zW-TVRH!{7Xe>98ci@`*JWO;!Jw~PADBn{rV)wHve&7D}I^pir4>``ql4p=zag7TS$ zh)t81JW{mwSUH;Iq@!dE5qt3f%AAx(vPDC=TqB7C)C!^VLp-91*ywCfxem(N{HVc~ zq*kVVfXIL+jGGYc5lRt$i3#;lLNWsvqS5wkPFa{}*KMz6dR%gznr^GJiKVuZ^~~c1 zhKyNJTUL|5o>}#MbnhmPe4LGFfkD-$tFVVJ2?xn8DyGP;@-ZPTK_j0_DA15U#O1~NG0dzz z9!XGEjSsL=a=_hc1ae^s${_XvRK{tnbL44M1_l;avX2jnK*E!XDo9c@8M<}~LhDj_ zAf7f$BfOGIn`DG-VwRZ0eIkMPgmm`6gfz?IbjP!(Pr8z9sh?ynO54Rl?EIPNUq6k* z0jDD*cJN_#NPH*%y4LOhay==~5pr;o{QP+P2GIfb?wa}o@rPnxewMl)wAl^j?i-Z{q_I#0{HR;`}suQ_L*w@oYo-}Zu)5T z{J7HRZ+zGBAwT1v+0@dwiT^KQ%hd4v@07v~GsP|AL5W={RQ4RzfUBTS`;EpAiBi`o zHZ-(XgXpp{rHp{leC|o*)q__y-AjSukQB+Nqr$aO_=WEWOa&8LE>$vfxZV!lxPTUc z+KdY2Q?bd|oOmX$v-m-EMbsJPd_iUV5Wn$Up#}`9_Ki}Z8guxIuZ!c4Ny?-$quo%s z9O@6^_zEraU3@~EQij)V9Ro_%LZ|aOF7H4EY{s<;;D3y*&MI1_9_xu&zU$64K9pnt^o%7$Ney{hiBuf49sHx&I{XvF!dO5SLAqmX}Hy;dQdXNUOS z-tL}4UYV1$zMf%V;1iD}W_Ts4b&v05D{->~`ogXg(j{)@#TVE9=q&dbIY%+3N$^tp zk?ILNMoz{=u!*m)j0Kdu&PwtRm|-A}OvYYGo{9o$RAro#u|Sw900eGM4?yuOld(St zl5J}9WMopFPpZ3^WzHOJMv8|vZhxRBj+WcMSHFhqx&jom3pVcK<)td;1V&mw5>tQ_ z&e48d7FoSjdF9M{u*ce}L^JZ@^|jYK(;_kcn-5bJsj6AahLL6 zJh1#b&z+%i%GNSmC|%4d+4!BEO^nCc37iNeEzU{)iZojfojm`F)a0Dsp4=PVONDEA z&e7tm`1%v;{o_499!aCz)9%07FWp9LxOnH#+#tCi^G##m?aj za7ETQntI9QShhENK548tmIyJcFQV(?WtNXN%{2n%ZVWufIcDju{UvY8`)7npn=ikz z^F>Q2u(Ivv%Kpp$Ep#GJ-j8pToh@`WZunvO;k(mO)?3H;{N46XoM(;=?`emdGs3uS zH+LC!0elG{-J3^oCHEwIBO1xd_y@jp4w1$BDkP|>KSUMl^T=#ufS+dIfvQsb!Bjnb z9yKxK(mdA|WH#EH?4)x7H8a&RqRbPr3w}v5X@8pqLpJ$wm!Tj0`#n}HW`NgLYqe;G zPmG**0Jut4p;gY~;1(37BhjUEbw-nP=)AXfmUWY#+;!vflhu>kqNqb;h?R!A7*33~FFql+|NYaCF@yPz&46V_vz~%~h)(8`&D2BcODGR*9StnR_w({g=&?=LJiL0? z?Wk0u^b1U$Z6)91qE}DIlS8gd*j5Ih>me5X2UV3n%WLR4_JyGpGN0Sb-pz-boJ$|){hHgA9ylJo#(2_^{RH>| zGgJ1-lIq&f<1Y*3Eag0$INSH&)dlX z=W^;91?&aZG41MIJLWUX3K`oRFZD5lt{roH*)q8yF_4x)_y{nI1Rn z>C3=aQmvW;JVm6CrnhmZr+1zJ@epojOXcZ8YV%4^br7-ph$ z{aA{zTj|$NJk2$Gh!+rG0ymqV=RS9;{5eAfKEW&wd9%JU%lz|N9i?^fk+k{K0e; zxLR-Ir!c3xe3fXme{a0QS9#DQ?VawcpKOPi?kj~mhkHhflEDkwAS;1?#NlZK@Zc)7+Yc`VKT zFhkheg@Wbr8mOVWyA=JbKDu1&K(TBw=y8lI4(UN5k$x7Z_bNHVQUkdWc01TtEl;1Z zG^z!3hjSTwK5F1(F{?3hjvAG+r|Nf}U~-GMfeklS5`wg2P|R}wRo*vk#ZJ8$QE^Um zx?OyRI%+Ow+lRPpJ^#~Rsy$=_?HEcrP$Nq_Xzs$8p6_6LYVh#n;T}=PN40)7b5e1W zM~78(9uHD0kd_``R^O{VnjV$}$;EQ)dC)rLo7Fv$q?RUu^_#gf3QTGBR;=nb0)mZC00*K;#|mo3v`h+vfH?Ca{Z%kL3_Emu zr_UXv_9aCb;5)hwir8-CV7J7}vj(EkI{W6i&%J28h{FNA*Fx|bK)GMS~S6D>bIINX(b!*FFm+;Z$*775=mZ z;f^;$%3wA12f=E%v37zerMyuA9Di3!YLyVyt-@Xdr^-yRK}-;`C<8=H6uS8ObQctm(kj9> z0@X?>IuUds3S@#JQ;7?$FQ!@)N`ZWxpru$ymaKpegYOK~7gQNWBDLp6DDY~^*Jdwd z`0NLN_Qq0)@X>;=;lh6iQq@>!y~U)~&lLi=zQx4Tf01@~>IjC=R|o5@JAdhPsvhb> zWpcuIy85$=pk@C|xZd{7KXdl>p!!lC{&vdMe~hG#Z$AfKIPvOwSpFg$Gvr@0ndb1+8ALew)8-G&# z7p{XVQq@`Pn4jyOU&!>BMM(_0eC*Y+5np!UyGw>uxg~${_gveNRAQ+0w%|zOy>fv0bQE=$21`rpi zR2IpQx_}UP=mZF62i2sHVk=--7+S$82{R7wSmHhyDRTM2IeHa82wET&R&4-LKtp8J zxs?n#fbD3Q#KKA^86L)Vg%M(~hy@q`vzS+x${JC8ml4vH)vyBxut23QQU*IQ!13U$ z;(QSEESNxLI)gY@uXba*{-B>quvHpdKp&K1@M#5T1QUo(}=zj^taVAKduWv*2Rvb)4tb*V<8^dP)Iq%0=3>Y$Y*Za`jWT|&X$ zR2BuBQd=d^xJ2sI9b3>k`;${&w?cQwcW(X69nO5+WauhiH|tmDJAJP0|FMLt=Wag4 zXM+|#I}4-d=49Hs(2?Q(3uEu*0Bl{z0Uu)sa_T4l+Z$8Sm&2d`GA!nYj~0YKejg0; zQek1#rVeh38>z!oSRA~I$6xsMf}V6S;qHB;A&Zl=_vT!1TL>Z^Saa=< I|KGy@0TTtnSpWb4 diff --git a/firmware/output_files/radioberry-10CL016.sof b/firmware/output_files/radioberry-10CL016.sof index 35adef99ad6b0a4734adec7ce9e3ecb7d88e554d..008cc513a97e17077e7464f3f5aebc7d7021fdac 100644 GIT binary patch literal 496950 zcmeFa51duib?Cp&xo2h$!w6@F(OwAZo^d4Ozjy&-&_`v@Fp`1L_KIi-Y3c<5fnb6{ z@-wYX_KYJ=EHM*eEHoy$S~P03B~kLr>uX=HB8K=Q7OXa=>7OyN3CXAL_t0lPC9OaC zeb+ws&L4%jH%;0meQ(X2bM~LL*IsM?TYK%j&$(Cr#v)Oe#1+W9xL@(1RX1+^&=!-w zal`d%&B6~}zj?!^wKtlp*WS2g!v{ZLX20WYxwp+R-5=VpX^pu!H^=1OapCMsE}WB_ z{SI^G60>x}*0tvHH5;~qE1@J1oSj>^G&lR=_gp^vqKg*Jd#7Z4W>xQkH)~=Bj z=>+Nc)ME|Dj(hl@=|k@g$KFYth&!ft4VmUis?YGJJpYfWUyu&@T%chJnq_)lvv$jd z>ppPJ`c+%jzist~4-oo}+YY^U(}%D5)0LYQ=QGQ0St+DdILh_G4{hE2p{>`fL*6YH z-neSbh7Yb@d*h8Cxu7h-+cvJ*AoI6uT64|j8$Y;l?X_F4+3(CCH1Au4NALNNPm@}%O*(_`G@GkCH|549vdh9&X_n3%a1UQlW?FM zB5g#3wXisnLlnhnej}-Zz>UvgfOb#|l=+kj^T-g4ic*#+ibV7C5+U<{RMVzBd%XW$0(m=?%XdTm*aRc<9z?Sc-$|MpmnM*%AjNXh^G?x zQ#@-@c_*c(ozH8TlVT9zCW`!JjjJm4FOgtBH(6+yzdZ1BllqrO#)={WbSK&AIkE zYO2v@|GCTaZ$Qxg8_@hWCix5A=ql3NpYLGyGf=Bt3srdkPxb5^D~%oN`Gs`<`!(6SAo`3OtrOWq(5bU6Yi%T{71idLkv;)oYJP`y?a~c~<1U)=PYJ|ipVkp-62YZkS zB%%UFKTm~$a_5WVazGkf?XEQ@HpNi6Vv!uHZxB$n*6;FdIQ;1Z_~JDia~<Gz#SnGDxxIE)F zo-KCZi?I}U!QBO?1aZ^)w)Le(-(7sw=I#qz-#L%ON%pG9ky%xU9Vkwu>{PoF^^I7PQ`A*cWG7h7$HeW@ z2ra1H^9fn@D5yvA-uYHBX5Cmyn(jmZPC=9Y1KafvTrJi<)-7@`*)AJQ(TVGAS|69} zd*JPvnJ1$0hA^!JqhVTz+yBaleG*Uq>4|Ng9Xr<=$33mzb5d*~`V()HY<9hrtm0Ro zl%XQeps^esJC9e96^QVA>pYxHrK(Fyt+@Fjb;E%q#p#xaDv;NBk_I=4tS{CjC3^v5 z$B%wbdXvz1YmM(Iev0_Wmflk*rqmloQL!-@$nAj9Oe|rdbxXSp zo(w3X%iSAEGVU=31QO?((kPN?mq9chJ>u90O~Gnl(LpV4lxT1o^){y*HQ^(cg5*O9 zN-Ye7ODF&rNMk9M++c@@61B0ocsb8Kp|spt$!~>qNUt4IFCP3MnHdfd{3OP5x5c zfbmlHK*wk|3h$+Ql=a%6lh$jfRoFs&tyv|mLK0(?x1cMK2pwUa!FLiE)>I5Ua+6UE zQP^U^0Ll73FI5V(r6?k_yGi;oKdZm0BgUwN*`=Cfs_^}`dWtFYC zvQKho>t45>N=I8uVO`g_A{-(5Mu(XnnBee?pt18e=!1{-yYLC-6`T9TfZ2C=YKJV{ z&=%QmoMnRgGpT{9V5DF^(5KqESC+!1YU}#$-)jDYWVQ@A#_e$Xb&?dGhVT8S#PYx^ zF->6DcDyC4&2M7RYIE;rz`nhX#FK)s)GJ}O*of|JLA*>J z&I@5s+HTL4&2=^?j?qbDC`XP?%#b3si_kY@YY9>?s^s_&Bt#Q74HPIBOL$et>LAO^ zcBo$BsN8A0qsh$tj33h59ogG3vwtiE$DHjS)k* zhK>V+&uZu-$|+)Ahci;`P8c%_93uc_p~@Akzt?n2B&V~57#qv!%t7ZU9yC|SKaps1 zF`8R#2iGDCfsiy=u2AJ}44_m2>g`iyw?iVt7zbpV)2g)^N}SgN>OL7bA3+k$Dbl31 zfy&v*RT|&Jd}8fQf{HO|9VweNKz-!E@|-Af14~Lr-3k%n#34QlN)nLkY&|{Z9AlK2X5sv`u+^8d`d=d{ zHqts&pbCUIw7v#P4GhstP0YbS&d0V^&^ILw(04RT{nax9+0z^7WVBW8 zyiS6`(-O)p%vX252b2>r8qr#fZ_smzuGrR!NrZw6n5PEC6*%{EDMqQuIW4uS0G17y zz_ymOM`BygIP#X32yJb&KiN9W^m7^GZp4Fa-Q)&eY`y%#?A+hoHD~T0z4qv1&#t`w z^-T+Q{rJaqfA&ZJ^k>O$D-kK^?e@#1anDmQr%bP>-I*3DC{wR-p)GBf(Bl<XhP zI2{z)()#!7Oi4wQw2PCn3`PvDZbgN*Lj$4eY5+x~cLdbKV2|o38%R^wP9YkW%gFq& zYU_7X9YvT8!b$Jz?f%xkbkF_c_w{__+~d19o^#bV7rZ?`ci*4>)(2nh{=u!olFFP) zqId0P9bA6cS;RBeQM=4{mxdS19!2sH8I*eqD+>JCa+oVS;&EjZX4;9@d4w8wBgo}6 zCx2L?NEsMk2b5P-F1T)L%@Ik#Mu9J>e;j2j8cYz5M+CahD8Q#EEBkbR=4%egElW2N*Y zG}#E`8f8$r#YRzRyA5L+dDZ}Bi{I0D}Q zSOKFDj5?h^@O1~0?^cC7*Ldv`o;i$hhZsy>hVJBqi*uTC+=79sVSmRTIlG6f%NtWEej=lr87D|KRGFcQDYo*_}b2g`M@O)w3}9UcU*KYG0-=!c>D1U z-{^aE zt)&z)bjm5AYHJ-ST`>6Bu@$eYV^(y*Tf#GAucr=ZgO4uL#*MblAlm{IDIWIem#BKo^WjEtQZZ%$PYk6T7 z#L(9AiWaeB!mrx8hu%~CE|uu(kpKncjaU4C4S{>E0mlBE7JYQGxWgYCQiig}9NL;i zYp=$S1|~vVJ9p4X|L$xtF;9CERc~3dFO2T_b`)njZ%(AJBol?<-@{zLFIso_%wp&I z-#uHtIecCy_vR&^$hwt#KanZTeeXj{7GHer9XHIp_TZ-Xerd@!X1>1f+YkM96XGK5 zN?2zlya(Glw$BjKLJ?d!I~Mm%b_N!`Us?3X3Oe% z*kuD<0SEG3#57`|tBds$#z-UA9XeEY?H9G{T3~o>folBICF8Gk)jFaxMe{c zoa>}ZUpT3wi&%I%YM@d_Zmm}P?EH1J9$CKk*^8%bx#PBmGmkyqF!hsL7R`Fsc~_lx z{oJopJ%BsSCgJVxbJi>lY-w(v$dZ<%)wB>ZSBxAAV^i85*r0&A$V=$cjNVXNAbG$d zXK5=1%Y%W@SUAyv)phaI4kbMrqOdW2RKK&>q(fKeH05dHJP(elis+4ppzV|?`1OO2 zWA69$UDrSI(8dj)-nC`s)H9Bird~GxjxFoHa^Lc+&iTf{m%$r_ghJ|h0)K%^7YKc& zmf+Zw`853+s5y)Pb=78QK;WTqJxOd8j&TUq#=b0YB@ylCIqTO zlMXYVlLnc^zDg350yha-9*7Vk+RG6W(ke$5cxGXISSvfFDN+io^2f3(mt-iznLLNp z)4-+L?%xs0Rr*upINbHzWB((n`_iuWTzTr>|L`q$oqO#)|LrZEYkzzC_8X4u{o9uF zw3vQ2u%?_|=i;_Pt5|kx)AW<{O2p6b^urFVn>rc=bz3f{j!Gj;HvH4yO@8E+AO7)& zKl68OpMGlj^@G>G{M;qKvm*EHd0+m!t{b%GLT%z@P?~Q7H!94V;?gFchlbO*0WR+e z{feVs*|QZ!jbbQcP94gnQAbr^Ff<@JrPJhurF$IHxRr%WBM2*SsW`Iz(|^?QlRxX- zeczYoHP*e~&EEIrn}4_M+j~B+c;A%M<@u*FbVyZrrF0Banw9`q>Le$d@~4KT(NN7+ z3&?T->Q!(W9Z4H^EVsZ6kf2YM_@E^HjJqbp-3+=n7Y0BzYBa{o{3nG{1LT=&k}n!m z;_N+SygHQIRb*yA%EppBMc9M0L@y277-8>z^`;wMefi^`d+OHT?0V^w({KCIZxrr) z_UspSJbnG}rN7z*CSW2JriZIP4S+H02W7_`-&ddsAuPQhmJ3)V9f2s!gYGc;2}+z$ z5Q?tjd7c_z`6*Li;ZmrznQ}#{{rg5+m`9!PW*oD9v!0RDffq*PW3*;~vf`ZLu)X9Q z0*tu-dF$cHpUZb1oO|Vhx0jahz3ZV<_RRRJ_wCO8<>cpiqzQ+K;TdGz7TS+*%Q}m?a$%qU({t5dsQXifNyQ z)Bq7lO}1V-3$vlKE|$g>O_DsW-4j^OHLP>K-{a)M*K}bf`Rxm%dpcjOt6O{VP}9!k zOU}C>^YFa6??3ILE&u({hd%KGxCE}_f~MFG%qz7xHsf(`$D!ru39_%^OSaVHYaU+; zP9HtfVM=8>hja(Xzi?+jiL;@C-{@)-Q~a0+C%PVKRM-b8m%*~;!8;*Xl9twNjU$;u z31-(y0 z9wazR?AAEQ&sjPkJ7ffuSFnDBQ%#e{q%0sy43p=#Q`b=+j?#`XdiL zdHjZpzx~qu(tFO{{%e1Qq9=P1>!KEMg&qA8&OJ-yND~Vb z9d#m?A$4wTy|^D*Y1O>S;8-unHDNI4vA$jZDn^DQT!o#f)LR`Tw{XCPy3T%tu=w`C zI@BPpA$qJd(s$73zcC?$UvxWKXl*?vAJ=*U@h1FRZw(2=_(yyhAsT zbJiV*SSlG_{)scM-23vGvmSit*&ScKZ~5~(zPI51`+o9;tG@frjlN?M|z)gX##f{{vljY3gxlyhyMG_@!# zmnWXr03B!m#&{{ILq~a>%fENs#_xUo>d$88efsLX&wjPzzN^pKm6^Bf?AISXyWxvZ zdz{Lxv*H-{MC=K`3WK$BuGtUKH+#^&xb6i@!QVDN3y7rb1d& zDt_wnfn#p&)637Ed+hODk6d-$;&tDj`Ga{+9bEJNtM+c1Df2%4(8aeY6TX?4G>uIk zZ@il~28{SsScfhRA{T^4n*169+PYnnpsl+ka+A(AvNVyP&>uMD3?c@6L$ZXAbnvVJ z&{q~Tl(Lhv#C22W$-R-cHB@k?B#L^Yc(fcg-~Zf>m-{~X?PXVO_|C!X_vSq_{n5qm ze}2ij*N)FS=e~nS!7$iFe80n|Fk%Sl-~ybMr9zKUm&&KlH`jf6A;Gwis$(Z{m5Qe5 zik#<8M5+yV#(|LnUg@;WtY*SyRUmmb=@HjbRDx$i&!juou}&cjy(IcJMsR7+I9J+AavL??NY(C<)) zo}ogw#(Gd`1B$X-Cgo7M5GGIo+VO3HCR@Ls%^0+`N`1>}lAx_iXzO%`hf%G_s3r|) z>#wM`HbhhhXx5=w6SQ?F+B)n&TQ}?4rVbH@0$KlJ6OP*}+FEYfzIfR~5A9tucgr37 zZb9f6s2nG zoH5p7+SAkukly%oo!lO%sgwe{zr(=;rR@^`Ch>+C|PgCh4Mb=r1Q z$~hk9aGOdHpz^WJ=PYHo%hk&&$04h6Ij1Qo z?pz(qz?6*G`|I0HabK%UzQCVhLt7K^LlelRY*4&sRX^>t7MQlk&uSu0%ZhBBCZ@Q< zuo8Br*dt9$^PhmqQ%IUyD}no=6L=mE(YCe#Z9R$Q^!_M061SIrySYf|Z>N8mm(z_|_6Rk`8T5*N31N&h8 zP;)4&vU+r*7U&I4R9q3eaEFBPyt7P#wwA_xTePTnK06JICGuujVNoD%-`I*);x-M? zoG$0`zOlvP>s%2P>S#8-Je`IP(m)des)HiZf}LVoE~iafbBeWF18ZnOtXk`E^ix1l zaSfN{a!Nv<%3lR$c}YygTX|Y_s0f<XfDjRF5j{OH zx)H|)10luWazbL`QB7~TfI*%B60n$Z8H=cT<6H$Yr`C-oQ=`*EgyF!rQv(D@a|ax=c6j8v+VNb4X?X@Wos zt`kw%tv^7ZRi5=41*1;2t>c5l7qkVUSx;nJ`-l+R+MRj?EVQ-M?-MpqYXG&a>$0si>=A?hkY<=XMKbuT+LRl!o4eg?3S3c8dR zOT4yzhIGrl;Uotz_d=}S9NOA>m0Oi2^_o<)^|EP`jN75wn(swM(bsyt?eA1u_a2rL zYU|M3)(*bov)CeHO=}a+8AIZCzsLEbV4#p-H~SX&5P{0jKg%-DTCQ*>RQ5o^{8*5AvwyaqtQpuiN( zb7ze@041$5-oxeUxM_&1ppH<~h?9aP1G46kZqjP%feJ6moo1p?A)ERpypvqzOoimB zNj*eT8X--9OS9D|tGBV>B(W0lX9%^BqepPAUK8=UEL4m}XnDqjBz`)pA)pS9&4Zv5 zgCQx?E-il#NtR@N%BS~*JO$#7H7oNxr)_#DdA z$5D$|@Mb>C_kLp;$`dt|M%(xbDfIS*vaperaHatg53V2t{iD)XavewO4W3BnU2%(r zk0Q-*mh!R!J$48@W!v&nf$+{qFLHFjTR1Ey;&3cgXpvCs#JR696G=2u+cjgB;jf^R z4-HJ{i7qtiVniPg72CR`+PZ%Kc-uNGuY{+zwXTHEI6|Lb(D_>3zVUQU=(B`EGr_ht zA+~isSVvnzTl;fEIvYF`Narw0F&J41KOvzpf!KShtrOYP8q7jl2f8v49XKmo$+CJL zZEaOsXXRngC}7Tej!BHusTbSY=}LHpmGFA6Sy>5hC!%6oXHw%*L$Wk?6;^F)w6*0? z9iV9nXzR)4m2l_K)=gz??QLtcwQ8Z%K(0=Zx27^32;k3^ zR9km`tBaG(gt9oy$(~VAZJj&Zh6JB5A+~jM_nf6P7F`2ot~_d6qY-sE`>b7o=|qN5 zZR^6aHx!{ybfOzG0K>AsxTY&(xxYS?;=VAHtFES*!ZEh>(6?BOT7$MM?x?BP(Aco8 z^DW=kdSu?Sbr$y0ON=~fTPuHS)V2;I}3_|Dj#gfmTZ3qS=iat6i}?Bwds9_ekRdOO4vr{o#)m z1{blURCGnnZp>&(6L%V*V7V{=M9$|@PzEZIK0t4dLbMu6PH6`ji8_(P>X1@K)S{$I z#A0wTDHGG`BYi({_;sKkM3KyUhNVvse2>=4yzs& zK{+deF5p{(2XK`Lho@5`w8Wh1Zw-kNLX%a6fTW5zf=ufk!Y@ZPqy~$sG=TFiG(aa78? zyjtr*rzh)Jyp3kTsU?kjbmcYtm*NfVtjV$sdw zc4%)NzAP9&$);1iPH&vVRNK1spveDSs3%}Qc-mtr61SShfVRH3$OJ$)wEe#IX-80T zXzQ#nj8p}y9eBSCHM43hmbp!|E*=Qb)}^7_HVw689B3khS&Y3`*BGjSw!}Fc z)yuABIB3eW%c9%jdx|g?Tv>0V&&g5Mr_Yyo2aUOTah35)xf4^>mUz}V;=Xb`y!`2& zP?}zX6JELH2=1>%>|5J(-}>q%BYRfIuJ5_qDBpX>(40?cY^&>Em^)vV_N~g?Do1Si z7|pj!akiSSsF%4zdC)W^|{ohPO;E|jLM+B%fdH4@3EY)R&4qPVo(Nzmd@6|YBB}GMZJq|iZP1dfF%#gtb#@q)lmuXAzFc=C0+{@ z6^Rs9b5c)Ew{p{VyxqTkvKzlwURsEksHYl~+1#A;f$2SZL;nSg>t#?!%A+%#bWc}_ zu<9h1R|pxqG$JC(a4BdqmCtech$0~^8lrjm5Pen!Xy#N%%cb;v5$Lm(^IbfgW~4Bb zK7N|}`s63{XN5gpJ0CA2<%C|TSLq0U%rP=_08&bFlu4)26Oa)|im;+ard zw8?Pt&1s&rZtMBUf(7eJN&dUSQ7ea zq()YS1C*;3qz);wVt+@vGZO_^>z15dD6LMJHBuueD_l-XvmXgO@HQM$kWbmiqmF8a z^(i=X;*o;UTy+9}>OtY?nAXF7#7wodKV_!cx>&4e>)>?hWq*&?C$b4 z*;&S*tvl4>D5!1y#46G@WxZ|vIQ~#=>pg5PRwYRbOjp9`!fmA)K}XoZwqXt$a%vET z&+$}k9l>8bvtBL_ifwVzpe9P8gw#*45^nsh_+;A5^w&;ve=|mY&qN~GkQ;H1;a=%hm$AS)BVCw zlG@fiXzR9+SD2b5v#T#bhKPOZy4L1pO+zD%WRh9D{KGq(H6 z`hFT;>(S|9fw z3EP^955(3&J-O9{t^b#%gx|D6BiU9L1-VUn%B($UJ<{v$Ev&Gi;%qf^!fWX)r)LUs zL=TTLWt03S)z%mh2V+eF%{}rMf`ust)wqE zh!=|%V4S*iDE~vQ5uhC?_?_jVy6W@>n;_Pz##3Q;#NUhawMHN*QuI zQ)=Z9t0B<|=_~3RU=fIhu%1eIp#4Ha_VoESXLQ}wa(O_7*9OLbXUk>gQf^%A3uJ^q z8VV8Ml~oX_&ubfA*mQ)V6-0pq*tu+@P&tO8Q~yw6eBN zylsuP&ZDgz8-IN2W8cW&FoxiponBkFWM{DgI$PG)t&^TfXzRFtRf_stAf0Gyn_u_?4 z?px2pmhDGwT?ubOTSv;Do-#A$&-Qzp1v-L5A3-GZGC!V=KLcRceQm! zDn2XWlVn+wb6U1lft7HkCP5o3;gKwAFjl7#!8x-mb#GYq58bzH(8MV-xn~swGa|3| zO`ZD5eV06Q$#n;J&fc=_;Lf>E&HMVxH{EnJIPNP%3e%oaZEZ!hbqlsNuiSR?67DQN zBa*@sI&-w3tj>!2FeTMATjezZ=$UX^w{7}>eWpwIu8srxtm9HVM8$EFUSS^Ai~-cUN8k=OV= zQ;qeYe0pwF)0xq-^pS7Ag(Lj766qw##muX18`8%Cv>?y{4^E7n6G@k}>8C~lo5-iV zwGDG^%5P9GZ>D{s5So)?3Y#alR6dA%9#TGxWqzql8~mKsAeQ&ek584?ry}n0;I)RM zXO@o3vE$K6y z80CCKE7J-JC9P-!B9l-$pyfr+=m5yfF{%T#z_)J6)pB(!lWZ^sA(~~$a=IoB?|~3u zS$$+~O-w4aXuWbW`}lE&HYyl_y_$CE13sOBH;{EP1bsr$!mUnROlUQ93^06*g9`(v zwzX>O5!>4DU4i9R6EV)V)=^DuYk$hjYr}EGPUhJUkDM}-RkJD2b-c^WneZGd;Sw8( z(AHM9HD-<1)+Q{IpS%5SkAb-1jpJ35F=fr?ILMQ(u9BXDq**j`P#WwCQDi;+>cWtY1!K@+!XW+r_74QeWo+KV3CfrZfJUIaqx#ml1*7tG@PEw)s4`k ze$#$DtPW~^6j%vI6+1c9L$g-f`XywnyJD6#N2Uj%e}ik;HLB3*y60rtQ@~=XKb#vJj-`SQYIHV&fsD9R(rDbqwT5T|J>7#cVs6p1xl$@$z0DwLje)2Ag+Nv*)c_o!2tmuS=|q}8la`)-{^ z)R*HO&n`PQ!LZH?lqisnj*Ey^xd7+ymb(|5P^&={pqP$ww5C;bjYx$gWOXGZ0<|_! znpA|*lp!A<)rRB&au$d49&SZF;x|@=N<{i(Ojj7`-G<QRb6ie2AtEy-1mY}7RflDoG;w4BXo4Z9{IG#du~}SJ<J$hIh_Zlw5h(#lmPY|oXCZD-( zT97n@I42S@a!SRcs6$aIFq3K>);evFEMJvye0T!HCRPuHYxc9EFZu<1D*-!0jIF^yB zjiFkeAqa-cagBV|Pu)K=WhF8e(5fDsj5apyRUY(2$S52e<_*+MJ9Z=WgUj|lwEU5k zkL6 zG31EWq&i1%T=XVJNQ0+5lJB(i0m4MzV>7!Nz>k|V}sMu)NJ+XxI791C5$0~7q`2IKB5Y&nUSn} za0m|(P?gB)5;4OW1><6eMr|S_T&f3f2*F(gkg+p##13%J=2EUa6PiP3c|W*BSg2+c zIm^+hHy=GZPe0xE{e!#aBKY)cx8E@HHvPm`sSR4!7g5ieCjt%d-ZK|#*9DTfD z!O_g?!Lc#6wVfofZ!XHL(^MU_nIcci<)I^OV%9np7c>uQ-g)z?q)K`ndm6gUhy~^} zy0abvvvKIcp+@L>Wa5-9=9EkP?ji1~ph^2zIf+lpu(Y*Jb`RV|neJgr4FhK1ML|J! zbK-*u9( zb*Z+N)h~d=r9y{v-PlF*WQ1p;I|7Q$qMyX~@^%p~gQTy=E<$R`#;&6es*S|_-AAxu zi1=zq46&3ra3r0=ju1PUL(M&NKO3X^La#fg-rB*lV_AAZGm|5UE;=PB3~%7@{i9J7 zKR`~@1Mu4M4C`h`7dV!YSW_7On7p-CC!Shef}6x!JkIf zoMvNmMgPr6k)yNnCGacYzn*-$5y#7>VNb&lE2=%QI~+L8dT3Q0r-hg?ugPiz?Yb;} z*wizf7m4?~3Ym$Yv-ZiLyP`g@i}{Z5nr9_GcSds{GY%nNXp4P1R;+Ie*I+3$>Ia1> zZ&=2>I*O#agyPpyraId8vC2$5S|fFOoI8;1951%-K%`&4%-BP#nZ3LmCDJ@ceaf(Sz@K4umXEzAK$ECiC)|t43OIvYX@VIIxfhiz0!Gz6mAM#sF-bT zWg{Sxoo(j!$D4x3M=71$)#;}6^`623_{N>}#)j_-88Mx;_6v3^4KMR*UCWH(h4P*- z&(K?ep z^-^iH?7HTzjafG7AK_4ol_AQ1v%+d(9*kJf4a!_ti^l^pw!75nj8R7=#s{hg zD=5}@hi1{=U~psvQ8;uo zLLJ9|(6uuQ$OqCFYJ{>shCllD@pS3?b#;}iRMWMS@lcT#vesK{q6Ka<9sS8b7<3rvQcLWr4DUZ?LK&Kg2ro?0Iv)qVnpJ2& z6D>#iZpvb4K^_hw{rtbkcj0D*Mw_H7_z06Ar(f7?r^Twa-kgQHZ*BU*p!FYuh|t!O ztfkeUSp{uv@S?44rlPI=il%C7T`{yf(AMZWc&-jswYAJTCCZxl%_j5Wq$oM3N96yR z)UWIJ_Wq0F;zfEywe1=+c*z#!?IggMuCRb;H>5bb>v?tL_o<;tEOk6^(gn}O$O*gfo9R_8V9W+ir;1)@)KtnC<;NaC?pnGPu@T-41nF_ZX=~zt&irU_GBa7^E>RKbwKAG0DlMpf6ngmiCbvnTWI_vO8E8<$~m# zkZ%b0xnNLOFRf=Fa&(d1MaWQ!Vg7yk_EzttzUhIEP-RF*(gI9v*4ApcESJEKJ`|kZ zLcIY{6th`nSdSQa4XOds;WLuBs{^|5rrO#Jpf5fiNX%*cK+K!L{VJGC$hrjWbl_ErZu~VlG`T8m-As!v3MNg}kO6ce_ zl_1I6G+&(`naDAC_kfPYZi!IaGp5uG?o@cu4v^!j35;TCMsOJQs`&IO^*iizGSRFh zU|dSeLPz7O(5)D7F?PH!DlG~^j6-0jg!{Q1mx``B+;UZ1PT(PA_=j{wPfl7v~}9$ zh&w4D84RBd107a*z@q_)~~tQZJ()RtPy+IqycF7-1IDxj@x z7uq@+v8|tLiFOuMm+$S7`qEi}0joN!uXi3P*r>ZO%1yCR>JI%1jvkTU4f=y4XA!)d z>jjOk1&05^scoGPdqWA-ww8Y;pyyQNbST$bg@e(2#7S;wPD0ha1udEsEI-y`dl}MI zTbqh)9jR^2c&E0t|AOQWgOn)n#LN~j*Q&(<$AN{{7X%)psasn3^59U)d9nN!vy?3A3NRqAo*aB&_1G} zRqdf`n%3;r>>N;|n|;R5GZejo06pi?bndHDStbUH472#HgOwuZKJ?=)wgnkm)0H3PpClZB2Cn{;DaM~2V;2lD+hj4b<;Fd7W& zjcorSC}``ttLUP=%rQz@gJsYTU(^?0d1JhEZgvq8-(dolhSZKRwn19!E~RP?1!DDQ zE%fJnIOij#^SPFwuIN9aSs``uXD2_cm%dt7;#zB?c zV(krKNwxKolT#XrakNf`20prxO%_XcN!Jd(hO&}h0mWDbk0C;+Qa%X~tbCz8lv26V zDhbpk+JpK7=OwK-nj&%$5^@6`NFQlnjsZuZjp1WJ=%OLXY63bNYz8uKh!HZjA^8Lh zWck37QTHl-|S+FShTENm@{Ll)Y%t6YKlf1PUZ+KN0r@?iY6f2Cnih5HZuGjEn z>`XPP%)00Y2ymgsM$Rl=D1B}yp-k>#nc z`F#jM9d54#d3p$oIxJKJqX>HIgS$i8rxdi{o(w+D-8lMb7kQ*u`m6;8v>kTa3Tj#M z)XOhPzz4#WSe2ZJGzJ1_1J#etpTRovaJ=Pn`&`wbtNCj@Yktn zQqIdkCwtg5-@F#jWDLMTp1u71Nbgr*3`uPrzYnL0FQP>I`Ecb9^-K&=8qHX*ZQMl5 z=yOKHiYZG=@Z`00L(_=Vd0hP9mF5O?gc2S_LshgjM|k}UH%_&+N?lnA56ap)mP5SP zDN}>4Noeay#k!EC$tnPcK`G<9?HNvjjVxykcg4&4Bhx*}+$KGJlq+ira)f!KJv084 z`;#{wjXD8&>%)5a7&wX`i?$Z8t#j~PKwCR#`kJ*~umi>Ems-M-4Z?aH3^Da$@cIkl`JKscWmoV&yZXDo)d$C94=g_lZs;vj!DvACYz2a2`ehAkE&o>T8?}o_re1s`|=2VHZtb}t) z(e*tf@%Godx*z{WMtZ04$&0G=K!}HrzcV&pj>LR3C!I@~fMl1R_OqTHe8c6NB; zz}d-xYHR6y3GEQ*@7q>`%^;(#2X%?8@d4hM3(sIcJ2H9oMfUHlCXQaM3)hRzyl)Kp zqM^66YxA5KSSps%e_;@MHcnbt!qT7eb!s3L9on#-0a+5A={yulV}5#&H}vGkEA>ox zDD8>nqSt0RfOK#@q0K-&fYr*J%*BAgNYAL2r{3rrJzy02bexzNpqx;a#&Bx!->HX&82d+VMZkhvqVx0B6};)Sz;x`JW{cI9Amlb z^&Y(;n>|-h9h#Sxx8+i8ZAf5nH)@1-wQep?n@Il!2+dTAdaDM^v?cPCQ<_m5DL6^r zsfy)(DGezBUpksuZQ!-Fss)u^=>fb)wLe04x*9;K(%WhiNA>z7g> zoVG1oHGrShXl*Jbj+7fs<8M4|z>T@6?9o_N+oO`FEx=**AdZ@9gCOf?-dfkE_2>xG znzmd@-T89W5E{APde?|*;7G>=R>9|}t+EYITc~Dmj}q3VAL?pS&EQ8;TR}gdyfMyb zHTfxaSTD{XwL*cKP30)xqgY?!S;R@*TqAnQZ%;FAwXx}q(bh|`txY4^+HYu^<;dTs zJRYQ!iXsN*#Gq0Z>Rmp(AQ(*kAmF70UZ!xxNP-U22rr4WWd?#$R(g)EgmJEv(Fv$p z70~v8p{r)D9mjW=OTNSsBflDFiyR(hi6w3<>d@443)W~o4$o}b&y)w!zMznx^Wy+@p-}Pc(?iR2B61?d|gOBG=BhClVE^X?YU9 zi|+@oC`Oa-?1-a6t}p6%?+0yu@dgB{0bAYAtl)xA*Igyz7^xgSgP40Or$M0AxliQ7xYO5Gnv^1|`v(2}MCF*C)} z3M-^t5O!L2R#NPn(qRMkHng$Z2Iybv^gIToFvV~r{7v8%zL^*JGCx;TBkU8S zyMqC?HFeZW)3bCNRjrJ8=zq;Bg;K?F@@J)tDi~u~%-b~7C`YWj!+|IxRiIKX0h}o> zJ`1b$U3L>-14DTbk|VG@|4`Q`*hWWX4iO)5m`qbfa;*uF(kj7_V$qD8l(-kUV9pq# zP!P3(E;lK7LJVPEIM~MDqv1VH$ueHTXieZ8d}61k{iTmWTcK^T-Fo)88DarT;K&-j z57FBbddf`St0|3WYqhNt%;w5UxN7Sae)IXxj>NARdTnhu+crYxWDb?GbQX5}1<9DP zxru2+hlGn9CvQ59OuGG2*}fU=!V9=HfJ)oh&4;iqz_A{mji`*NQDk(Pz_e3YmI>D$ zl(bcpf6k1r9a^k(t8HD`w?43>NvV%Mr_!5x7gN_#kLOm4{H;bn0@QG zuW4a~<=&3APJ-N_xeO8q$@ToX5}WHtTmx8a>qP#6@tc)!vy{q+(AI3F`L-E$@e3a_ zmWu1ydVV0kUGMcpRjRA+EwUllFbkSFEB2ml>y|CeB)QY$UT3haUu|oV;#_LDuD)Ad z_!zI{?Nm{n?_t!b>n>zXQonCX*qPlH@_KBvo^NQ}PTLJ^L${Gj>r2t0*>bhJBgmD8 zX_>O0A3o1zjC*lcQX7kADoyStWGtn}xvgqz?HhMS^y%iyDSOsIN~>5$x|>R?1w!`# z47Ok18zdWoMvlW;c}CN_}ufIi%`? zOroOZsxm1(H_0WooUDgDO+8!0ENl5`QYE4sf8vMZD+HzzML{Mh<6}Znr_~4Zm&mE3 z0`P!U+CR{x0iguwk?`?85yKzCI@e41lL%>)sX(u;^k{S3jn7Xk2%HeKh~!*krM~45 zm+_^B!;Y@5sVJ#}bPP)~Bt)O`&mK;V0Mjt-GZN0`Vu25B5Tj6+)* z;E=T&V(HSt2y;H}q&KIT_d?F}m(u#^WXN<%Kh)tJIi2dEh)IXTI|jm|#(#YBa)-K;p+CSo~u>YxyeT)h_1H&1?g;vL~Ncrnilw+Altgibh zK%RZ;QQNv@Eh1rCcdND@l9Htq)V8)2+d8smQz2;U_*1vhueaf;1>Dgnk~_bMrURSO zcgfw|y5)t3(xVuRNhU?YPc_KLJ1F>C!0Dc-em&!knGjHYUNH(-;8@Ba-iDk_TU1;kmq1*Xu zS}yYlsSm9AtkS6g9$+P0o}ZyVbW9m@@d|{_PFlA2Q1D$B^h$O$owKpsWZLW1JgNZ- zJ;?Vt=EFzWowlbg5`J^4TXO5*uN1lP)!W*|D4EZ-8HryzO9~fzy5t9x^fiQ?bw>8l z;rM{L>$}6+$=gmh1tKlwq~D~{cOA4{b9PNnPVOh9^WrGRwkEt5m?{w~;jRu`%Qd9^ zW10=7 zYJ+E@K#Gv!*!UP=bpsz9q*i7d9u=j)$Ga6vBm6{UnfgSG4}orqmKL4*CV)mnWvp)V z@+dtTc?!2O#bb6jthQD^;Y!Yx<0gC<8uM5LD{+15cRae-`%24K!x*9706Mf7%?Unq z)HjM!$-G^Wl|e0+ZuJ;-mqT!uXB+C67~DWF2#=A{c|@j?>y!-4!9ky6Hty#NorGy- zRUrYRsAZ(nogy_IXi~jk&nW2;{eWP2oQ9OardikN$f6(eH6e{Gd;o%%8^N~b$c-*x zh0c&PYRhTrG=!xt_)Rq9ngDI>bN9&QWCQsqP2W}%i4!2He2yb6a89wPMypSM(~8%2u5Goz(?d)iPF>}^)Oi$y%D#2Q zw)Wr9poSaE+S*mLHAl>&^fZos8A!jsRg=EnSXwBJZdt!SHj=hBT6U&WOD(cpg|PS3 zl1|aKIdN!fUt7xCgy5(aKJtS)2$}LtM#_VD^6jv7Z$T%rX?MuM_Z%PD8MCfS_@!X*Kzb)jSs3C3QK;o zt2waL3P0=NqIo^0mm8X88n(u5Gw{!y&pBE=5TAlGwApJVCU&T&Z=Z)sY!f->RE8(?4AxG)) zPj3rpez-pW*CLPhBj21m*jL#ZOL22u9VoF3?xt{_DK~K1np(S3*y;FP;Am2m{c5tZ zZ^}ZNTC*5|!P_8qDRY2Bs|L~53&jp^r+W8CZLBK|<%g%&Xj>QiIS0=VJV^dr$kyrT zB65%IGoEec4zX;4_d2x0qQ)|FU`z}&I0$8@r2$$k7Si6+~)AX)1O6RB(vWDl&$}=ok;#a&ARl zR(Y0F3waFT`Qz~E4I@WMx_t!$l{9B ziz7Db@RXf*Rc^{rQ9X5HypAt9Jd08(3pjnzYsHkP^q}Z8BxI&#Bvf}*Fm+|b2z<|J zK$-y6!yfO+muVRBbuJ zYRKlXAr&$cJ&|@M@xwY-YM=<`;juwUzo8-Iluo7_aL;3R7k+Ah0yrs69BEQW1@;Xe z$QXw*R%%lHTSHoHsu?85-RT&%iI^*XTnWI2vRj+T zis(UGrw7N~r{`&WNt8ceq*7o{>EIq(@5b)|kG?Hhu#Vxpm*fuF7!G|CcSH!Yu}p!V z))Q)t*%cvF882u6HA&4H2dGx@>-&@dS-LrCb5W&`+`}6O!cjenxNIOR?nKbZt1ynF z9!ibke~4J$4APkzb^@|OD;_~9V^$uPGs#Q{!-KF@sFy;xpBS~kfLm6>5uYgJI|7JD zgbNqL>k*kOHS$&9+Q8l0 zBgq=ngRm;p_*XD`rd;50#&UkF!kw?x* zv7DOG*cl6lwB>|#@=T~1Pn@wMNLx{YV*H>bE3z?uyABpShh<64XsnDK6UN4X9Gq;& zHbDsi6ax8#UH)|Z4}~Wj1q^GJ-F*XuScI@B;jwEVf%pB@?VdmSGd+CX+cR_f*56a7 zPW?G`s_Is0wrs4-lib^4_!N!~!bYzo1c(1xlS#%a@FhlbR8vjt7}ANlWA-|H(LolB zW9tf(qcJ>t131H7carnB?{FEjT1ET1p{CnML=xPw2wP{r0b4lYAq;HojV^}hzr)gF zDxK%yvYc`N=nv)N-0TH^N)6vdCQXt*xeKHxc`aN3TYbXpi? zQP8rG!E3Sq;Z4C7uG^Ir`!DdUtw77V;2-Mj<~(t^1^<4_C?4nj;d+ihEu-bpoAw1~ z6OA3&17WY9w#SmN0@E7CuM{)0%^KDE>6)v)kR)_xv(wC7Y8m0Xj~-51v$qhN8BJ@g zGtVs24?aG?0j@0_O_*L+sdLgMI^_r*h)eCn)lfl_!UTa*Ibx-DKb)KCIwrc3sph zudievszrZLObEYn-9|7v-b@*JJi8D)WVo(v&9@QO8 zR!tZYJdrvY$^=BPsNvCsLQ6Bj8RyG8+Yzswh@42|vMuVQ%LUg-XEUc5LR(IldQ2^z^*~cIfDyp$WhloE*cfC`R0+cY-l=bBoRRuYaQp!*dD1W^ zp*(|7FZOuKf{&ky%m%`D6C%K|25TaFVrWe*2wF@7l9zuhZysa z5=#~q-f6+n?3i!mlajS?tnwRIok*?S^5jPqIlqZ4M$<#LFJDC9L7PXZf zjtg<=^0@h(^f$ittQD`Jvk!=>uos?mq;bIEE0+0TD@Y8_IZ6Fd7M)Z!VKLUt*Q#Q zS4is+G3)sMcx}Bd>*-!wFKO**#VO*z#*o$p(mEa}Y`f@v;*25E`qul$VDEYWL2vsG z*z9?4=Tn?Npy$%zzpZ^lr7&~~hou8{Ey9)YS{BQvH`csP)YhR%`xtHG=pLeOtCSm! zn(kt=+osX9ziKI$$(>zVJ2o24b8S5r;aZANW?;5isRpEVYRBqH+w;LoE)V;!RGnkY z93mHz=6~ojti7So4z0s`Z?YFoS~_-seyCo_EZvX}Fn!fV!e@a=fH&g$7i^BrccCrzr`~E88{g(cY0Vy-pTxTh*Rh-Y&`gol z@5=1p9tN2w^AT&ls4vtxP}qt_t1{0;xPFd(97XFfe-qbEL^4Yz0fMBfihDehHAck}xLkiIZxa|w!(TzkQ|{n7+sAj0~oNO|F^ z?V~6dFDK#r{Bm5Y$gwu>D9`kf*R>TdtH9CLHKiQ=ghmj=?8oIw9pVYcWD*2rlCGod zC1l>lPfrkS74#nJZsNOm8#oG|&>4>7yk_ZW*4eBT3;O5FH@{w1W>r8njWL2U67hHJ zomV$$Lj;E>il0~r|#BFUFayJTAy zuOO+(Ba>Gb5JTAL0wW_T<(;Cq3@jALwt2jWLhq~aO{yv{$sLw^<+2W#+klW-;6%MZ zPsZCSb>{je`Xb+JB%nb`9H*=gV}M12opdwGLSzL|b4PUa;->(Yj7Lbt-m=7(dOe3WIqrPIQDV!fUG%*}$QK4HnDiEmz8v1cZ0hRVpiy{^}_fh(x< zRjQJ(kKxdPh4y*Do*cG#5$*`Ob%A8{O%Vp6lGY>FVC+b1pS^Q~Z%SGtbYSZ+)b|2U zfRh8(+;b;w?*y9-iVRcYQAGuBMQaEj9L{6uEBP znc>XKp(_a0`fMVGuMJ*7-uPA2mqYC8@E7n|*tPT*Z%nzHk8wtn)&Vx&aH+TL1VOhh zkk(-})ka^^@h+KrY)p-dSD9On&{~kwq>YZ+w-~C2W)*|Z{JAHB}(gq zuMB5x4vJ*O)Y|sK)e~r5RjVF&ux`$hqlbeF4?oBdoa>A1m)3{T5{~~LUx0wnQ29{v z7OCm!{oeW|tt(C8`H;CF8cW?;E)g8ncnPFFLQUKa#2`GbE|;yp8{{Wxg%QnFU6*ye ziA8JE_raEo(SHd-uHST8m6f~$v}tQvQ=Q~GL2;cTsv|RT8fwu{31O;vJIG*0%2}1gTmoWGRekkz5w`Hg%V|sP- zNeC}{4-g{2qwLcgI++8O2);=b$K=%=tM?u|t8Oh393n-IU=vWNIUP6ba4pT)G+Yus?Nd_S%$$L6 z*@0lgd~lOkyLO~zvkvaZiSejd(HhCTYw`_z0AC6cx`h)uT zl?1%I3ThA%08oQ^Hy$#IE(mKRCfwX3zy~$dRJSAL&n?p~W z2_J1_FCb4}=dPgBE0knwY+w-*Q$)QOrKD!VWeh=qwe1~HSjaFK{kdN9~FAjAtLAbLvTS`qmB$+%M`&>64rCHSh9V+!bTTP#+ok0BYs^Lu#dwIpJ0d*;t223CYN=9CydUN3rZ{mNQ#DH%JiP(=>lV; zb$};~rzt3p8dkJ8De2yah@j5SRJi8FTtxCviC)1*AAU8d<1X zXgfmG=wIuEc1Q`iJC;RukcT%yYZYz%Wy z+8Z<^A??~uN`bt!=19L%Pwfsr=(HPIhg_9VvSyj=-nds~<*C!cJMe`d$K_yHn{o;C zp+od0t)^J^EDzG!+Ac_1>muC8k=B{Sc<@MTbn779`fzf~I>_^+7?9TE=+@FMC-Mgn z^+#wq2ltANW629ixRoP?=54Vv?^tFXGR_6CS_92zmizj}84l|^p9Um&Q;09bHl5WA z1YDNZo|xEGc-?jDrK|Ny-8v1@ev#I`t6M`O{iUR}bnATg_qz`IwO{cL-R1#g#S;T| zJnGi%S6CrwO?7(np@h!yRq1f?a$--F{m9z*RFeyRbK(__T$!SVZ(L$+vSg00ax3E8 zILoGA#1!q!pdvu&Kr+^+&7Bgull8_PYqm z*TL@d4_0+N=Of9-HkQNZi0NEE1r_6s1KIfDy~9QOB-uo_F1Fw9?SUFd^Z z=%bd_C9L)&cF9SfauF^l;Sn?8)~bMIi!YRLkMw%%C~&uGN_w0Jc26k+MwdKf)v;(AXY zxu8I^JRg&R)5B~RQ5O=IIqYoxD@meEvd|l8nX2fEy%ZJRVRSAq*?4B&6l@hl4HR}A zAqypCisWS8@Nn5;pe^8*b+Nf_P~~B~Odr!4;PF$W$T%6q*CMS2FF^|4(5bE?m||8G zrU%f!)D>iJ(pFcXHokzhEw?4`OQuzLRgytsI12-);QJVO_>qNvW4Bp8d>^#BEM#zD z4EkJ|!>n}S<32h%4@mnia zTb9;nt@;(t7D4+)}rmqPR2@F5Nmktc`5F00swUt?jlu zp$=&sXTpzhg;b8TE;1jb^#*+vTf98MK=+~~^g^joUXj0KuBq|!h6a^W(t3oE;%_ul zG6cZ6G(Vn<_#eZvtXpHDBGnb*iz9hDeZi1T-#La*-cf`PZDy$(CYHMOl%F^>g3>)O zXceS2GvQN6>!Gn3r1g3VTl#u3{@7k;?H3@4b!%V0-4`=kJ{EO^g-z28P53Mn7MB!@ zrZu68vQcTI)(DlE&?Xxa^v&OXwb@@^Snhl9iofAzzEImVubJ?!ZVkQgBf_C7M}X`= zt=uze`N`>!HeW0k!48aNMe8;;9BpBwbCS)Y{a?r?t#Oy#s*$Rs^?KxW*!&fn%bJH$ z)~#n-c48*wunkf_kd5-Km9cKUR4rXeQ14%7lj=-UDuxP9g1-H&peZ!**M$dQ;v%)n z9wz9u2j#q!QtUdqLb)3ua$Q`(!?$?*s=O-TRZ#gr@TlB+f>Lsf=(NZk8SQ@nI%grl zZ%mzhDGJ*ey)djG8{65z<2=wBr+*5O5UfNeZygZQ2;*JD%J(klNfks9f_%gS$J0Q- zoZ*3c93_W*k@JPdWYDv?B zZNxFEAXf1FETN!_>jGu81Z8GHf>ND`FFtW`Ke!6wAk)C&f_Gp`K|pBel}lY3JCN$9 z7ZiybA#~R6kRv&!RS$1&Yb5Z*Q`naVLA}tG{z{o9$4)Ust$GB(jL<>oN)X}nd8v0R zT`^}u;Rue#fzYSg%zGR-2F)mt5l5|?U=A}szpPEsr82FWu82LMK+z;{KF^#@n>ON) zAnaACr&v&9kX~wsFmv4i*Ghn72p2F%rW_P0MH9i5FCWVH>fbPcv@XuntqFy+E+nm= zM7Pc*t+^a8N^7rOW}nTjt0k*(o!yqtJe#>Ihp(_ZShsH42NL&+@xE|B{b3-dT45HU zpNk?_7)H*HW~J*uKU=|B54(&yaviZ3MaVXKgn!q~vqG~I!WKwkm9%!)l_1i(shRNA zx;1mINNbO7?Xu3Ea7#M6b(Gd&{B5z43;){idjs}SbnC)DF;I-MC*0adl7O@h!Ay70 z@1z1Ca#ceC=31ZK7`(()LwK^ZM>az%c?cD~wz9er^wXi~k@t$QOQ zMB*8Is7B;WpA+?}0Mq=$RBqo~#j#3AT`102w^ka89YwG#5hR%DYaB1_>$Miob~y@~ zav6`t_5LUYV#FW# zC`fUKAQ!A9x8WN;4da_P} zODV;$XZi6~wSgcHNo$wUYlE7q+!pTy-6AS&`dyj*G6%)yNNEDr<^6>!kM6ZhQ2*e_ zI39&#Dw$eUZd!;zoy{wy+zDYXXn@hFBsMZ^Ch&wum!}fB$$+z<%@dSa+8v*65N`lxVipV=d#Ra(p zYC)5Mt-`DaidM=*AX3?zr0aZ{QaQM@_GlA(o1O7^l8j#uM#7Ap7B0m2u8TYRsx<;C zXfHztUW@RcFI$qbD~aR{3{WLB4RNKoX|+n;Ypl3 z5FU4rk2hC*ZU(!I7}D|Krr-F&e%U>q-D3j^rZ?ihl6Jp?+U zX3Ya!UiS6@pKB)k#9Wd|TDuwcJ4l{Zyw6aOLukL&T3xEwd=F0zCKE4?QoO88UHo#@ zhrfI+>c|z;6~zOmAKpcVwL$Jz=+_U|m(ej39;92xb?fN^R0Pe0AKuL1ld8Pw5-UDm zx4S1q*mfxoe8HvcxBUKK$>Z0rk`l{USDQkjkG~G@^>%`J<;H>Gh33)QX-J!DbRBVq zq3DX-a%R4=I{w*hdmp8-{VCFV0Ft6^{RL(fzNlShMDU9AKMJ;TAEH67nh7T>%sdyy zHB46D(LlEjoRU?~TFv1;6F~;`N)t-V?q8AVBS>qiT;L`g$N15wt;2&+TBiY#3q9+ECkJgPoChxPNKxC``uMAn?hcAOH?gr# znS7X{zZU&M?cPUEa?F@ejlLD_ofK*C`h(-A7( zk_xS41Br^eTqjx1$iQGZt_!kM8A6K@CV`t+ndQ=Lypzk)*1_#9_c7>Fa+IfYWzu6J zXr)Jts_6@D9gb?@v=UwqJVT(_rNG!0TDXV>YB%EIJlhv&RO9efF1;WRg&}H@r%g|1 zNSQ#YKT9kImx3;9qQxg2RDET4#hI)~j5L^fg8+Hmcfnfu4wC6&!!u{3cFJVyAZ~q#cY^{(r=xPmWSC1sbn;VmNP~=&3n~tQHCx@n zO#wSWd3#x+iwtW)9Zv;}V@@S5LL0)AFs2gl>!m)Vsly|UBbVrLuAi(%>8;&E&Pb95jqo*vXeOi`G%K=RIH6QIUlUVz(w2BQ)um#)JsfEdcp)oy*5hF zBEKZwxrbXX0Iv=je+GhFjTG}*N&@tvVuk~!=A_h%#l}b0*8$GPO6mza?iC^N;4gWq zx8On&b4tWOI;S@d5yInoRc)5v7(yL-EQ7W@1miqj2zV)It&Q0k5W~r^7K~fqb2Q70 z{i9_J<{e`{xDSL?zVl9rUUUgkX{`eSS9Yuprg21wRchJ2KbEzRsV%#n!Z^)NXa6A# z`^ik3djMu%$9G%Uj}8St^K%%kVhGT@61o=%8CW^(KL88Z$r$M+z}m2Tz;=f{A=kG< zLnk(5Q-KeHwvE@s&ewTnrjqtsqx@n{C=P+vMi+hSSHYZnF`m+ni^~`Zym*kF8GC3g-KCL6zx{b3G*D9E+8aoTfbh^eb)?7Y4AQw(qQHosf@(=I2z zbdZHT?yrSaCoQQdlszjgr(6g%7Q$L1K+2W7|rmGd~%$ z-4^JR$6*Xxb(S@=#ee4G;6SIZOk`sHS#@aKfbzn{_O&9$kUC{IhWzo6m5BbQ5sCYxL%tldz1gu5 zz`^fAQPMN-2ZyE>tEU~9LMSOGV#FrU*X`O;FgLxne0 zE|~*0PoNGckeI)uw6E{iXW(=SONRq^{Rr*qE?lGREV{1x$&9HSx$vq}(b@@gC|oz} zotWvglEB;AqV5KQK)*FS?`|-RYeLLtlOWkRKfccq20pw$J!gUSs z0Wt@(n$KKjSEPpICp4Qo%89!mwDhCYx-i}`Kqz|(JKUrGIaNl!P>wEc1fQgXq7&g$E1%Bz>TIVzWjPYaip5V%-OAd-t2p^2=^*!eYg6g2G6pzE*XTt za7|U|^i?dRzMR`!n{GUNQ{u?`T|_X7CVqXfa0g}VpQd~}(wYgOve*=AO;~uO#?|b! z7e}xv8NFl2aPl>zbp&n7k+e2MZYixvdQAxC=h|_3z@8bI%52?d^fp?XTDjsfQQe zPHS1LIQz_|jG14_Bc;I8d^(-w5D7k6+LTyxd!O7_fmma%X=SXOAV>PkJWdk*~=E)yVD_(|ZZg zu7t1_vL(OpE-xCLKXqp{r$u`EXyqjQI+e#ElupzvN8Iy6MzbNqP9L3zn)gl345WiZc?he-Wtk$h<<pHrP8@Q+ zTy*XD^>{^6mgrHh$p@d=Bh1)n$Su3)i6DoyA-0$0AhFW9Bx3N(&SaMJ!AmGP*%{K5 zPT~E$wZ^iTc+(jgc5k2WOT#!CN3iP{fzhw_cciu5FeBaiI7~%aFXf}ebdKL+2gA0> z%jQ%xv21))S+1(tn^i&4t&6t4STKjQ=2;igcKq~N2!}_7my?kvEL$b5W0spW$JKiM zgjfdnn)*dV6B}yk#mjwO3v3Rpax9Z1*~*7puj0)5_amwvLB*1^j=FWcT93tDIMf3& zYjRnSxw)T@M@Pq6SwmWL{)91V3fe;3966P=K9@LFe570Vz!(D@J_YHu;H*h23q-a2 zDMVFATE`J%FjzA5BffC|eBMIjI1UjighpEDCrB(+J*&$ZX$?7p#g{EEGbS)Umu{Wu zB3z_(VaKm>=3ZZJ{fvmt_2Z#wgs2RdLBg|xXZNT3Z0L)7oKJ$e4}a9Mfjihjvj{Qp zIP~PC6c%@!(qROUzxaRBGb-xj##GWe4I~h&%i?hiZ)XB@_Yak|UmjifD27Or9LA$7 z(i*o7%*VIb6cpqpkxTt!>5q#g`HRv zmOPNquzSFbtHY8zb;)xgfUzsQ1XYOGXz=L?MD^pV+7+o~4=Y}4!l__`SQ7Dd<)u7( zfHTDsIG%(Go3=45ftP89=R_ZeBq*6BAbmv|>(sx10#J50R%_rMA?s$1PsQcXNo;Wv zbBELz?(9EGp#yWg+p>2tI%}2~9GgCq1R}agb)%g1?Qw03j+z%O18@yG=!dW@gO~9^ zwW>^oXcqJnL9rCr^Q8DK<$@-D1_cUK28|ge?Bmg;*N>2*x5O`>yaG2_=OZ=Wl!bxW zfRdV!ndaH$s;q0l*z%HalW^_CD|E6zF1kRE3}hU49~rWeD=qmzlxPbwcOZsoVsRY@ z8GZL$cm=-Ky{&AEEq;<@VVxJQ-dW0j@BurkGCF$4wyaI;gVTJ%m>qB(BnhD?BKHsNIXG4!~ zofB2pqm6-Hkbd#r#My61Z0n=b7rDy}Y3=YW!EGCl%lN}K+I6BNNko_R_(jGjs#$8Z zFNhi0o3utTI=P4|l4KYGW($bi9BCbLbnBw=Y3k*Ab?f#cwJbqeJMM;M*hs3M9J7%q zt%s^Q`iZ?a6U(|ax#OB&G_=c5MUKZhL1v{}t1VQ2nr5=d85QlL zNquG1vzqV5HC*Dxt3J@@Rk*iAk&D4Oe%6H8Ape9QivlaMPHGOK~%@b-e9JfHr0r)Q535fHipsp0%MZLI^ik2FtyuHrh_K zhxl9mFoXmk)|K|ouS6U!QuefGyo4B(s>{-@9D)ezLWqO7hOC~acdiMf?!xf|Rd7+v zCe#_n8x(^YN`*Ql6BzEwtxJW>=Y}@n(wf&;+T}57^-N;oHu#(rZ#V;yb_e(gIm0W* zVbgWg@wSde4TbZ&6s&Nf)`t<=_)W8QN|!G~DZ zV4j(mmAhkjdCYL4{FHYo(SYlEA@1jhRka+ODIR@pu;rqDq9$f;v~is40=?>)&k%0q_WgbpyiwSO}PEpSYDBC-MWPO10=_6D8$_tZ56w^ z4ifSF#yY%6P-S2PGvT5B3MVP35QqcckcT26z!2>B&gTA!jovQ)w54&~+Tqm$LipMo z*xm#^rBI}`v0Q%re&Qij(5+)`qYe}^+$-0P05e2R2bzIv+BsnH?H{26pR%Z1_kW$a z4k+f!<4Z|)n>CyDzTEDkF?9<%=ILef#6*I0>!MsYFB<{Ux~+m)Q4Am9xV=Yiu<5I= z2_9)J&mNFK%XNvfN9poUZi=jQG!aX`xCi3-koLn#7VBw4h(uXWAWPYPdmCTb4Lhj{ ze)1dVWq$K}IFEsK>xK0E5m8*URAR{m@pvz8wm-BAZv~+|M3n=VQsp#h8KUj7SQliC zFm4MRiav8o*3!gLinPAE>vhg|%!{Pu10xBS)6Ow?~A2~WM;!;TJA;&)M>s$pMdLB+ql}m_$+Wamt&PAvA z6ZGpC3+z@vWj})FA`Ekkg_?{~16k?%1bL6eFe_^v&E6}?m}8Hjo0m?=v%g|!MWY3^ z!*U{QJ9?cH2K6%wu4C}LdjQ9im1BT*(sYqNH!-EAP7X{7=?%n$OVg^)W|T|$dA$iw z$6~Od1jY3{F>+SA_s1ZSIeeFcXD^6BRVc04H3vroa4n_Ujo+PRh$(g*&;E`|0ax*~ z!7WsP6E8gmjkQz>mdmQNF;%e4gXrJMY==H=w2t8Tl{gl1s21i91id|O-6$RRv%kCo zYuLqXRNAeU!IAN5Z4^?*CkM|Sac$RRTzC~m^g_w7-X5EJ%SMO>Cj$xCi2r?J$ewRH@8s${RQxrTkjS%S0S8$2souxdTh0*2U+OSrlMMR`8;{9kiY4cOX%*gb!6M^=~~`ehAw5h)qhjRcp{@Pm!BcV}U3eE5o-nFLWhce}ZtLt4*Y zL&KbY2b1pT*39;ISok^S%7!QxSD(p@yK+gDOz}v!MkwcP%tpN^-0{Q*()Y9vJc=L> zO1|ZuPOMI5JqrQe%|+c>9=#wlxBoi&{zpf0J9&jz2~jYs_4|9gr)G0`MmGKZ-;ahU zOzBU>Jgtqi@N~ZlvgeiX>2DcrsYm(`@2i&U*2l@62y+)f+~^LJbCB>?0&nj!XNAX9L=krfK8|N?04c%TQXo(!(WZQQS7Jyo z3tF1K6?c2UGtvJRej7O?I{I7YFV!)U1(2x#oh$&S&rtNOXzu)Ep@`o7iFf#>6NJw^ zQ5*rrI)U`^pwkATAWMW<&+E<6*!xF(jL>fJ5>e5?b7&sMn2@*-NIjB{xi_?HzGy0L zD8nX8QC8tdvL*M9K>?R0vP2k`AU}RiPd~cH{HqPj-wo|^3fcp_(nte`SiA5|TPXILOZ-4dUjC87xtMlPhH6BZL!W!qHJbVV0kvu9f~Q zhJuuC=`0f&1JPL`ATYusYDWEchF==~^GpByvD+TI?UPUb>=$4Cm3zPOgAcyvgYS9% z>gTV1?hVhyJOskhkov5>vigP^L<0la26H7NP#i;a>-`giIYx^$XGBTF#JX>W>M3-3 z1hdaQ20S`&N`ak97PiEk#C7Xv*%)3iD@PA9&uM#L|I*c*H}KPRnCj+`){WwsYdhJ# z^tQ+T;H9xkj+}a`|HJnv+aHYCPMO{~B`jO`pqvgRk5REZbnC+)o|G8BA+;8-mbKEb zeI31-wnnpPCNXumY8Ngdm8xIbTJADyjdjMO>7h z;=@aq{yBWO?LpzRjBA+K^Z(=?m^0IA zdv7u9_9w;BZ(ihU`43x^)=suNB8jg?k3Y_vU0AQ&GavS=3kWza0E6c)l9ibW@ zunplls69Mk8{73_%wOH9-;b$WufAmW|9tnaJ|;fAXGODaKS)*{m@#*6EzOgA%d~yt zEgPE3;RAhx+4z-Ci8Lu68wxV61%*p(<`P1LOBr}wTmL9^f<@wsPB5{v-~^_DmL|9IAb<*`ssk9 zryfpPU8~+1JLeoxno4(ha9##+yo4wc?4uTnZjD2yU6RCxp>F+-&HA>he*ello=V8CMmw=TW(**FT~h{G{Y!!<4|oApbNecyL~=ErH@s`W2*n>DK^57;a- zFrp{s(D4qSon==UiNs1KPbFF&`6MtNQiCDfT8Xs{2KNz+x$`nlbI`noTl(X5GccL%-eASho9naT24 znQ~%maY6SX$sRBt{nK%F-*iKkvMzM#9xvVViB2|@M_T_f#Lo~mCci;JE>=UcJ%F-s zFPmb>Zg>S*?ZnHPHv~y*t1%PK;rWSwE7F=1oL5Ned!B#8+y3tP;nSOS#@Rvz(mJF_ z>&(74ve>H3T64lm-P7|XlGZ-)N08Pg3VTBo#TwAG532L^R{ci?;hG6&?@c*-RPG5c zLOQ>hxx{6ioectsHQ6M}iyNWT1`(0_B)*h!^8l)&DNZJ6~`~ zXJ?gyiPh|%Y-Uso>cz{|X$kgxie-k;H_n+gS2yc>M*rf$)0;KdNH2u+(VLkGzrqs# zt9*JP#(lAF;aXDoW3ETJe+*2cP)s>@biIkyj0d!fb`3jw~8^ z>$^BDfE2wVN6upmqHrjHhq{wwrL0GS|(&@{6do?q+to{XnyP@Gj+Bn^T9 zDbGrzZCw^~A)LEgeOZMP91hdW>Mg2Z@NjqpdE|DfwdJxDwBvGmv%dTEW(}s!xg0e^ zspBwi32q2YhRkY;M;e#9(wGx8i|&g^*v>!`IjW+dj~#xmLRJN_ALHqI;F5l zeOi#$h5G4K4QeKpIoi+h<5x^5T>H&?Z++;s`+w#I4Z%CvW|VYp(E5*(?u1bF49nJY z$BRDd5uHrcNT4DMy6@6<=C6~}O*~39@We4u!(&O+5>|R(ac6ZYN)1Dr^*tZ_==@#( z`06kH%so;ts|sXX2!XCg(?jw`)=Q)78b7`X$qkxo&_QMzipMCT zxy2JL83xC*gx$+RPD!nk-||}+CwDr)6x~meAkjxBr+`d|3g47vfq6XzOgt$+6BVmJ zj}r>_{6qDnvCmHIT`{4cQ87UmMmc^%a$Y@43btU~ajt0X0Eh)KSjXvHFM4VA9+Vj< z!=V0witczBLNt2R$-Lw2qKkgGlV^TqLhf<;7!U#jqx8Kht#l7+Ip>7iK#09@S!(77 zY-O_^zU}78PoMa|-f&v8riY}z3X=XFq;>8?3&|uXM2lR20rlM_(4+T+pb(O|`XpGd z!c$xw6y9rw5MY6lp@Bj;SyutyHaNzmYTM|I*MNa#MvrAe;iEVg51!?j*$eT^4;D>s z_pZ`plU2?7rC&Y#TR+gc`nl7a_0zPS6V#0s`A+tmcRI2)@HG>k;a1qQ85TEzd@Kq4 znpgen0o1~W2(s9hl>sj-IFRWwi&I}L6T8w?8OkoF)@!2a(Gt6S+G+?-a*+&bOP~}j z+i^9La6c2d;u3n7Qw`NQf1J@5!aj;jTAY0`3HkKZuwYfQzWTY(eEhFodTe#G1|L`A zcs@tuf?rDI-C#aWx(6=S5JfY1-gAhcKU@!d-EnWt_Nii=I0)yC zup%s7Yrh&2{~BAFpHByky-0R9%_uC#d+y^e;B3zhnTS(%h_;=v2 zxz_b_9L(&CoI88%v}S$$H-DFDh1JdaMD=))Ufep|=Tg?0E5kpX`I!ro)Gn_&=-kB+ z!`s6Qk>;OdJba|cNpBtS$t0mmR9FqNUq8t?jHA~7Pn40Hlq;Z{l#2)Kqv0XC(p{IA zj-B6Gs>pO|&hxgi55+(&Q3VM+CCC@_G@6csI*A2l!k4H2uYKvUPhEN^gYebOI_pFz zU&7JkQA1V|tdD2vHKut+nWBh^$Zt*X@|8}Ii9}66K^YID+pbBSc?(NSK00F&Oi#nz z6kkWhXEx85VH^%+cP5uk*2!bIsv9)mItIG->CO7F4R1KJSrgEY5X14rNgt1aIvG>z zdMMYHl3+R~Q*WTQn3hQVNYE!x-gv2>>O$SPCM{e+oeRS@60`XPStjlcu)x_QQJtdeXQq6!@o))}Xwp8pnbL^8 zu*0!hKpQYHvD2E9Agc^We$}K9HspZ|PoDRk-mKsIp);CwP{@?rKte`l>zOAxWl+*u zc!3N(q-i1}WHrV{LZxvNN_;XEtlwxufbANIpE4By&$9COK@w zG9CY~R9-e0re|s69Czv(>Q>eR_i&7L@jOROw9m8TyZ+g+T17?vp5J7|J(Z*$6v++D z(+nPgAIE8;LnqZbJD_dBhc2IxwEh*Obu%BR#Tv$*-lZGE1(`wVH#xiSZA zaA&JBZ!?=FUr2Xrp=R|U{P-IVpV6$%v;(TOJD&-+r?z4El5F~O^^uf8f+ycjtM>tE zZS%T)e%xk!Y_nYg&;CyAlZh+B8z#Nxb*=R_rV7cY{elN$EHYZG+prJ{)?#OGpMy|M zS}Z{sq-&*M;XaRp=km&UeCOfSffz~MNP2p+uASbj8371~9>?RwPC)=i*c_+p(mSyG zvTt)XA>SS#Y$ctd6>u>D4575?SFn^UM$sD~_Jt%*7vPAnV1wOYu0y1PJ;9^?m)WIm_EsxOEXj6qWRmG-f{wi0v%9V!|oeSdGf~zOA=(>pDzH z*uv|P*8WBaQ{zHf*Dr?)(yeudzs_T%4&ib+(%OdKmf_R`JpR(HC9Ts9oSTfa4ztI} zty0KrOy_dghCVij+?YKeP~V6bzABr1eKBd30;7*rHn(Nb6D697kqre_5v~9O7f3?K`H- zZMwoCzXwMqAGp$r=Pot{TN}tiW#wi(Qjb|UYV4!GK@MN^7Yb`82;L*03K?|FTFn9_F9D6~L9&g*l zt=$W3;bjtX_?=O=-ka>o7e*YBFSEmeCXgYmVFRB4^3xkW$V> zw3p0{#?A=ChCy4%sgDWmps^aIc1Pe8B*gP!RXZiSo9O%p9-|z}{DEK@948BiT|L1m znndMTH>ksKKg*0#<&_w-X6zTEqr3r&SGxZqh(r)S!?2*3t`mLg7-PL?_8ph5CA&$H z#N=5yiNbLi#D@+X)Elzvv1nOnk6wz>8@zE=4Z$4QQ9^aqUzi*rNg8;Rh%}YSY;;LM z$NwBJ;iF7IhuBV=8XrysZ^JINcJx~M@tB0uFf7vxuO;Jx3DFpjB~Ia>CPECE;}n+` z@_=E0pw3ygORiV*^nzk1`Qa3YL}{=Cn_r=IJPS;sM50PJm3L{1@18$Y>ogEb56A=2 zi-g3w;JOCAgA!f|p=in~XFgEUYfg4LzWJNYO<}yapPBHTOqvf|xtmK@ZkD%5KOK|Y zR|C{Q$DoI<7CvOMnDQJBJ4v%~sau%F@c<7{j+Dv*FI~?5>&XH*V>UR4!PByOec%}7dPuRT55vgY}A zxxEeU@1`CtZX@RVkUj8HND*h$&|Q~r7?-3iNB<#~Ra>ih=+?AYvctg>`GeaDuwOMX zO6%qrF)9eK;jXlHlHcy*3DMqG4uA={CQROlw4Ov-YbJcxPj0esAB@Z5{-(ZspDHKx z*30d#U}~+2t@KQe*AsN>SJ>!N9Bp*>AFX6nW>Cnk}OZyK@Q4|$#vzrF9;_x6|y@0`{9UmTSw|38ka68!?{tN@F3;Vu~ zwDNl`8$?M>3<)?fm*DkMq=Mms zGUv(VxFYqJ)y`qGpdaG+grF@EIm078g?%9W_JF9$j}j;*q&XbV)6#2fj~gw~;{tdd z$Fn{_x9$v}kk&-b;IGmsfpW_|887$|XSbHl{ED|^wxHZP$tC6;#XkdY_UKHr zl6G7V1l>&iY`bN~5piwsAaZa~gn@`&o0;$u=He^Q2hDkmx;YlA$4k$1{|3A&K60xy z1IhwpsN;AP%pe$aNBBVHT!dkZ`H$l3!leDJn2PCZvq_sm@?~`sFX7_T3CPK%WWV(7? zKLe{3xXxkRnLKT+1ZnNV7(oz#8b1DUn}DwDwyEZ?T+JzF#M`)K9(TY#G6OAj!U_LZ~`Q!=nehIFy`*v+c4nUq;&O8lChp|2 z%HkKP4LYG3$F*S+@B80lW5@nG8U8w5+X9DNwKe0FF+Scmbzf%pJ*pfqX!|Fy{{t=H z#QTLa=tp~tL-FyC+L)wuQ!Y;&1j(NBV;Mf0xcOuCl-YpGk=AUIvrV6|+Oeb6%sbG)!6LPt8Mb(?H6Uo#;A$z*-1L)UH~CY z@@w3e(FnVK&QE^zec7;<;4332%lXX{<~_yRznP&`V96onq_j(i+skOLW4hr`GMgf; zeRLhTI2~Xn+-5mA{R0=wRF70#NVmYovKf8}+shTlEE0xe)wF-{ zgW%rf!X+M5MLi4lP*$zZtM!-Qc?B|~x6#0(YzeUob#hvUcxqYfGSo&QaMew33Oixj zowv=&AhOB!gpwOEw}4}id#qsV#tDkM70C&g*PEytKxr;W9TE1+8E{F!)_DR?glUam z2u9cMeNaS-CUf#DyZs>$#o$>cM`0AYAd5hjo}j3%6Dn$z-b7^xLm`e?uu$j%TrG9{ ztPLUxAkHLll-sRSpt8vt7H4rjMhIn$bSrd3jvE>umVj0Lx*w5OLP_*lpSQFXu#IbM zMr3xGIohQyz?8uB9x0wjXfDC#cP$`=B%w6=%c(H%@{Iy&VK9MQ%o3?Zx85XC{WDGB&!{Ty?HrKluj;6 z@m5)uDY+>cEBP=x%ayR*sv7rcNm>Jq>$li+Ust#8N^5^fM_OBTe>c{0f@hkl&XCsT z=)*K6?a^oa%}8r1wbfHFplF+2xsk2pxj?_tIrzk5g$HvNoo%=&T(Hom~p}rhkoDf?D!(*i2jd? z*{3-rBLaRTqFV6i+eQ2G|A)yqcg<*Tj0`uk z{bXdM_@DjB!c!=!m%cuWCv(+5`Yo+6>{FY(Y&H%`ixD>u2_AkTwR*Ew%#GF z2hpty8@Tw$6vsLb&rtn7zz1GOuD2)CEzDh>Wb-H&*@yF6x7k40biNH89$XKFq@&gH z*6wsDyPcX@O6xw$rtZ(1s^WA^A>g6iwf^d)OVv7XALUD|w2`**sf|I>I?^}w9I4IG5lVaam(RCPbHS&Z17Gq5{&9}#QAA3J8MLWUv2?`P z>qjC{#74nBPRv^3&P3QvZRNX2yr;R$1UZHj*+jhHv1lF(ybC;D+!*Uj3u9axD!$?) zdlD`TX1`QBOB^u*h=zFp7T=MMu3M)`^>i4U)l`mD=y^i&3X|G@I=~-Itw5tWAL1G+ zj`6t+0qDBwKUbL*#%OrN}e>f5h5^vnPKw;nxl>*38`d&i&u_@0f2#+hQtLtpNNZ)40D-)rRZ3bT_7`-R#SqduXePWXt+j3)QgrJEr{NO* zJsWmbwvYa&cmCEz&94=EAO6}`lAHd`jW>Mjx4-wIw}!v_#zR}I9a3~_^ zfAEgK&Bot6_(<(jU%PAT1;74xx^|+*UW_^R&o?=jZD*Ztz#Uvp3G zzx?vg4FB&lZs(U(`F8G@Mq1xs3wKd8`-V*yFy=^WY&OF(Gu>#$&YXdOw05fLy&%n) zgUIcF`?3Q=X0N#F%UPmm#pkx+a+m=l;b1$M5~^f9!wLLx1sB@%OlSX2Mxjxt%6_BAE}LV@Wfc>YE-3 zHtTj~F72P`XU^1j2Dn;NezIDQ0eo9cUlNkS<$;dt`t+{+bCl}Us5IC!cMSd@anTkpD9Gz@Ke=>NhJV?)sbGf9ahM+t+S-;=P~x{7+3^`cHp3`R;%9*9Y(ZrC!B5M{xE4&yGx!rtE=D1S`mL>zok(kux1<_4c$$gk zNVMJ&l!L&`peYBACq?ODzx1qvB}~T9ojU#?HStAqP(zF=DG@YJ6HoA6U)l21zq`Nx zBi}vr%-_BK)So>0@S%NQzU5Uv|9@P+>!;Iy`~JIs8JtA*40$REiGAgx zA4)~1GKo02D-dp*%)m+fV)Dul)L}FFyMGiQyBMZEVaQ{I5HkpZkrSf7Lnk$et!0r1h0y%%& zW{LpDyL*<|6MyHw{`1=h-uCd5fARJ|eM9BV-}b`qmY-&dA{$uv}Sc{+*Kx6y_!@QiQ;>AS4_NVMwmi?fD;J2rjHMiYuUqj@2BCtl_N^uuRRks@PR8+JF*oqzNN+i znsqABf#KA(o-RVzH0zMobdUFRzIyoeo&WcbK6KH@x9$9cOF!{}|MZ(npB__<^5fuc z9UkE_B~lr_B;^aP^V|Kumd$y58QD(L(-zo^a@=vE*uv_0 zRn5`GS+Y;5C}iyJ^zH$(_`5z3L!-;mTN7rcAB zTpf+a_L5f1XFL`o5aId{L^yai? z<DG zkj$FqbA9gtC?+)v3XD$bNvw*vg87aizWoR<^&=XA=-@)>4k<$T%EMs};unt|J~|h) zg&dcZt(CYgU8C^YpMB4h3;+0^zxnq+^4xoMG_!q|8R^-fnIWb#u~;fck=K(H-eZ!7 zlCzWx?^U6t#+mF&ywG}vedOFLTpkQc5R4WaJU^%_zC{?vM5K%(oVs!FK}*gnCXyG` zDnN>`cwq0iBuWatT-mAC++}vzbAvno;VobKPk;EWWoH!2%V3FFh>XBa!6|p}Hd)ca zzK>r^UTZz&KVVaotAa~HNU3|IdeVZNZ74E2ryJ2?2n4H9L|*7!s5u#w3LUt@PV;9q zTf;c#MzR1=beTm{OyRMHKc?`IxRl2-RFX$7%daT>i~pAY!{2WG@#EKgzpnbynN+SQ zY?6N+ng^j3bT7vcqY-GS5G0q_R9vXSqlY>1QqEoA8biMFV#;Vb+&~S6G`uPm&6YI- z$(rOhLV;*?Da5inG`f(zaPQNbzW0^d^of7^;x`}I`G37FdGFubv|(iX<>lXe{!8nw zOI|zjrmp#R;ZZGNcI~h(p`PF5ROAD{F@COH6jZ(Gg0BWmJa2sIuRij#_x;9I|NPB+ z-}l0neSiHQzIFd~|Lwu4_fFq&!=E3x={NtTXEg#%tZyw10Z11t(`-||V-?{epe!2M7|MJ0f z=k~3&55N8ofBXaYoxD0u5Oin;Xsa6P_G9KcWJ94;uS#nJ425+6bWQ9 zJK~zmfmjj-IhM<$nM6?wSwYY%CW!=cQ76-boAz=~y~D9^ftayoGy|=;GLc#_!-#_c zA?tk}#einly2vDT=e|$h*MH^7yFd7b>>L01slh+k{>ItQx(9Cy+kfcBcfRYciRYnX zgQ(3GYK3^U@JOSXSUxHr7=@%v?FsP2uMN>Bx~=kXQKX^)N|7{e4(?moTBc|ero~VC zThOuz++3BdRXV^25YqU<&j*TGtGXBiZoFtbv`{C%et@>C-lC})?zLKpmaRN(VgE}X zT{HEkul~lhC$snc-~aT-Z+!Is`PL1;@yv%G|Ip0SKl8U+-_{ES#uY@DdqvK^)&W}e z+-kI&Ezvh!BpBjM_&neJY-fr*R)3a{c=%Z!5fn+vJY?I7GnwObpZ~X{elw0mNtv2WjDO0-Fuo#*;C9=bbqByPRMtmOjGDTSX zAqp5WCCvQlG7Dca<2%ceCGwcRpE)t^BH$>gRqKx$i6!xN0%XuF>#05W{d51c=d+*B z|KQO-{?VWRxAmVZ;i_Fu#nv)u`Mdr3;}(nx3eFDmAb4O1%K zp9XsD&wYRPN5A~3z90VHcczd1z#IR`WpDd?>DcFg{`>yJA8fI|x#ThJ1#wSj8Q1?m z<)7IAW8Y+Yi!8U}Z*VGjuj4}D;b*WC=merWxi zFMgf&=J9Jd?F;L!A7L*>!tsM<{?>~W=_0h6M=NtWTuXg<&fvf~UPg ztYM$5wVi}`VIBRcS0zK4?XZiQjN(U>rDMtX8hSz@Z6HSllKycSYjkfM?*N&qm4e%G z%)HKmNuh{>N@ssOZ~f2THUIBkcje|Mo+*mo{nnqKe&3G#%Xj|a;eYb0y6TIVJ&1yN zMORdTD@RCOA=WCOibX^9?6{uu4O>n;^y)TcufYH!3_-sxhNEKQET#8WQO|ecveP9jyg|)hhNs> z+UKo*;7i9JNPpt0Yk%R~XsY}GouM_?2|z$rkJ(P4~D52bPhA1_E(FkRlI zizfg#;Vgs&hDULy*%Tg;Z%r+j;~Gvcm!;cLZr=0pA6WnCg&*pzz3YbA(4H`h)mkx^ z8J(H|1ps0|-l2t{83s}y&YN4NdNuZhdB7i8K$v~@sR`~GFxnz~YH>u|?{)av40W`FfB-tvRr+49<78hGlO z|M}h5{-e$nZX0PO(AIt2O%zhJb?LcaU9s_r`7mV@@up#E>(pO_$SN9LA@!cp!EEcl zrQ`Ghx)W`EgOW0DTuooTk2-kET66i;h$4dHqc*gVObCG=%{oO+OLSCvI->8h@T4X# zqqcUo3q6*jo1L`vBPT(Uw$@!ji7pf__bJVmu2Fd5OE3NX7vK4>uj&7@W4AB6qL3SD zUm$k0b>{Y9_|}8YDe{sFg*|d#%r;t?34Lfx^!}sm&F~zK>5Zyz?!2 zKwB66Heu5z3H!OrXe>^Z;fTM5Ilgt2(5W?g1ayw*S%3FblqT zMIri>8>{U>CgKl~n)bSOIrD>#M6J`;&2E3Do@ z=6GtY@CgEbk)I=1+<330V+c~>P#s{Ap{mwOHD|%eUI7KbhBDu_Rj(x*WQ=s1K;sq5 zsx_Z7`LCb;)`Q!2j((}N^vwgbwVxnB46f83La12QRLE<@47s4zlV9LvEzw^cvLt}u z&{RqPWl%eX&BGKV8{Y7oloA6YKARXQrpo|rojUAkJMO|`iM>Uele>Nz2rD%K$4|W> zv1sI*#OMywYP3Ne6KY`8Nn%y`?G~Jj;>kzqGKv1G;PEYKhG@5RCjk8ig5Wq(ifX}N z#K9V*mI)gN8Q>CsR8tDv?-eXXDlrtyMjg&{d?t7_@er=_qM3Wucp&9h%5Xuj0CQ^b zo#P?psW(s08~#F|M6v~hI{@|W4w4pFAgtG)6F+>hq9BQFG@;1ITYx#nR%O2;>wshh ziWc!}&pX>1WDg=WiosKJ>m3NhVsjlEi+-ug(AGfk8e8XR>k#%*{l-}= zOY9R(baVC~M}-?otMMAF;?jQz%K7Ir2CbtZx@GF>sK{Y@D| zk*2hDcd28fw4^i40NEF>wc}+!lAa-8vo z%x3!Ub++$lKwD2~Y(1EU{*M>7>$Sy#)3rKwe0(ALoL^kfqCVos%&v@{sL z0N5QaqltR3_kpVqZF2F>%e3q<_8Qx)_V7KJ6phx+s0d9yypT6$FMuQ{+u51;u7O}@ z-jSGpXPC~QnfWVJsdxBFC5}>Au1-di@B{^Dd@-jjMG`24F@yot!qq$&1jNQrEnL97 zk6(nk+D(tGWGAXz+HGL;JpaRyT6JPlY=F0+nx&r}u-ejF5j6`-w09mbUO5>9CFdkF53M=QBi1E9d3pE>?T zvdupR&u>-8XknPKktr9kd_Jg!{f8@8U&~nhAf1vGw>I zUy{2&3H!J8nCX^_nUe(XjI9lAog4=3f?ytPy&!F^v32|=&h%YH(>E{4DNymV^^c*g z?N(~qG$US9(sY3&^uMO|yU*__sB1}*{_w??Nn1}bA8eyn4cK*P+OTz~&u(Bgtfk4} zJvXZGR)9#haQQSUe~rf0Ty3+BmXV7wJRYYu&7Px$JvPT^o5_aPvaqth zoq83i^F9Ngt!L=65t%kwvhT~Oxo-}$WBsTpk}b@HC)(gso=h$IZ`+tV6jQ4~ZZ7U{ zwp%V{>)PF9#&?)ekhZ?@6m8l+==F9*oiuLIo8ZpNZ2V?W*m)ldbVWEk-W;~UM2+v)kuk;88#v3 zYgvi~xeXcB+R3cJFXB>kv9YaVv(iMQg|TJnst6Q>UX`uAjD6EM>G+UWNxE29i`s#4~b?Pn^U+Jzg{u zv$V%1CY8>|6~C*rYZRA?cmR)-)hBy$g7DJ2d->6X=-CCNiL1EVh=KQQgiIA1ek8Lf zlJE)la&)cMi{%WWLBt(Dgq`H{FlmYfO8T!P4Kk2r)r%*w23fpNv^)(faotJF;GV{h zasFY#7oMEp4XCA&s2MJ)UrIonR)L^Cr&@ehA?2Am69`#o&rnKS0Nq5hrc8flNbVbe zl|Z<;PT2Rz(8&~!xzb#fOCoLEYhPh*XFn4>XzSMO-dLUUQzKT*dovTR8zkd-pGMTy zzdB{s>dmEQx`KOVf{@yd1gvpuQP9PCOmyjq60T} zN_(I8)na$?!2L<#rLCC>*A0^1ceHiCuD6)`1ZU$Mq`E;k!zQyW*9UXkCoKKWTGyEV zk%w(h-{p1-4UT5QxkW2PHO`=09n96iWd=ImhSL>UZv;0y`+fABU%timkOta*Zm;Gy zQ?5xI1tqO@DERW4x?X~$GB=m2kQ++*rzOZqMjROQ_(4GJ1dg`Wr8_U)o zp)u+Pnj#!^N$zg>w+lLt6nvnFXuPhgEn~+cewyP8M9Y6H6lecSTdwqWTg6hXMe!7_Jg7%us#!NgO5xyAg+t3Tk-sP zK%<9iAqd3$4QeQtfwD{WKu7$8!|)I`N6A50U&PS@aw!&dbxsRw%0uGg(!*?LJ+}7ly^}3?fFF@3(aAo zbyKmiaGRV(b!<-z8s~@b@LnEH4^e`_m<*LmbXfI~O}3LacdY9J-|<$xc$q63nE;r*PKLkb_dJ--zXMuiO;0OFlH z=98epkUyuB=51OLTqwje@(~+B)!f8fND6G6dJaJhBw-GBM~b?HxVk_+N=WN3+OX(4 z_yL+hxv@w?QMT1|zF4i>#lr_YNt|HQi~~Gbku@18v@Wf&) z*s01^&=K;UemHD#8Gszst^)Jb>jIwSfmxH^Vxu3h1SN%h7Lwp)=%j$$+Hj_DXzP%n zt#z$)&@M9_-qCahBMzjz4otMQZn=osx*4_gpo2><0Z6}KMpDpd=7T{Wt>V|=S* z>$dbkx8ZPXvKBTJcPw18k-7V$mU7EQ;uiMI$8~Fa=o;AGo{(2vA$Lv9grlvwW1EEg zV9Ko>T<=wUX@an=`X`e#aCWHAe}nH`Z@sx{N>`;?c)klFjG0Tr&<8$D#!g?7yRU!C z-0=VM>9>*Bc8v77&+X+r1<9OoM7q&r7m!+R)#C`vgbzd&H+)$z#@1POPd~SEGAT}< zDcx2Zuu~5g7JfS65HfdZ*f#EDJ`J@C($)^$5FT~8w6!Ly`{IQ67uTj`g9&-E$v(Ql z)t(^rS+{0z`!+WEb^{o9;AD}DGt}%*(u5sPtvpke^q`LFfFJA4Ky&n)nV#(Rp$di* zpkfvz1ll@M3uHzo#l1YRM{VuL+Zcq|m3w+borF_>bA#fwRyCnWTNZs7UbX}xmkC7@->auhJsHvo81kwhpN0^VVO^CVtG< zmz7*c+wr(*+S)~b9* z`+wl|6U!WXi6jkcRE`idyoKk(R$e=w1)G=;oqIW2XlvA@nCE>VpoW2)0QtFt6vdAa zXB0)QwLBXd=Zvao)jmC7_4*)%R&+7qrlWohS5s$xRv zg3H{I`^muVnN7C)dXZjy{L#K2`@m;E`-{ssUzk&FQi{`2)|%~4HcuJfX_)XEDRhp= z(A-+LPPN!7Z9Ta}?0lxWeVbioDvy5j>u|Dhb29sfe?8L{1&5=lHN!Mafa2=!XOJ;~ zT$FredK7k$vGr4!pEy=ypVqb_9nr-YBNX`!ec|CtdS?6f9UXD*#3kHP#a$Yq|N5-8 zZ-;T=*I?C6C+s4Wci&w8o8!;lv`lL43AcRnqYGP}>GuuKOt@{N6KAeDoZD<@kN`CGvVYy84EO5seSZgR(oQjxAJ;2 zhJIoHQoz+r^{T$Q`a|aJos)5DyrkoCO!dh$&)GZ{n9iI)JMK&@yaAaPA?hua10SPP z)tW=94!j-ioh%JK#`%F-wVlyLt}EaJ&urK^@Z%$~I4$#7q#0#W%OhHC@Tw-ClLe2U z_v)b5$xas!DeK6_aG90$kgg1=6Ex_E6qEv{7qyszW+4jY8p;|GBh9K}5Gwg3W1RT) zP){A$u8q1dftQWxu^j%v1Idjiu0A-h%*|t_(U0w@oCAT9QJM1N*$sN}i#mOX=-O-A z@;(m)6tnn3E|(!vgiA=Um_H0XQmN{F5k=hsF|6Mr$fEUuMPVW0K1-vWxyVdp*3wXr z9a+MlHuYX(bCOU;apTx#kytdi&pr6eo}c{%3E2Ui)(s+;kw}Vhnn)H>93a8}7*17U zrrgWnAig>{3NOK`?qqM6Au}jJ5DwaT>J>N*dd7^41*5XHpA-)(&3jkb-Bitj8r;G) znFcr9*%O|RQ@rP)1c!bEBBJ9KT9n5!lMu^c;TJ#k(8q@dKfBC5B$k)-nmmmI=S?kn zOu4X*AB`aisj}#kN6@B83PzQU%W0l%cIHOpCNi%5yH`mSEO3=Az_lM@Qf^y_K4K}I z79mH)g*dimCfp@x>zUcT_)e$Ub0c=~=?w&KYisIt&8F3c=^h|`zvirxgMIj~%Wu5@ z)wjF~V+Z!tIbbrohva<$C)B3(J`5xA6bNh1?OkTEabs+4b-3&T-g7~Q*0jh0=BiOm zI9%%5+Il;^%37Xsv5`HP_NLAJ(*xO%V-^VX2yrGHnOOhKY~B0V0o&HrB-_4y+!-;> z=ZG~EUY+2YWNf__ZH)rMMPCX~a<71ykFWo|U;aj?PRT0-2|Klh?WiX(JU|e%bvntO zaA2~dt@#|VX`6JFh4MN-c!pT_dy0rXHuf|zoVbzi6yI!}Nqx)E))ZPIsJ+>9=n6_7 zLw9xvDz_Fcx3rYQu^qly-^Ol9F2(>O{p9s*xjszLeY>p{IqnD>_sY|;SVl<%1h4r= zAOF+Fkr#<|5k{Z3IlGlF6Mc=^=f@If>xke;J@*UXd~(Lzf3DkF|Hcut^~Sb-SPXnl z!$K18`e2)$pZ-{C{wlg8cU}=BN|-HCt~(|u0G}ek2S|&Pms2yxk|gF(r<`*(uoYEobI$=xx&VgQxDEEwB@ivZ=^B}e9U-90%Khh~j;D$SRB%-;V+QchDWD&w18_k=QYSQ5c7e&wwy!fCU;ID!y zRCwxOk$gxVK*5TNm!I}R9Ff845kaZkQpk&QZ{w~?CbbeC?c54@Xa=UzZ)AQ`E*HV< zFkwoQC@aC+H=bJi@K2su9aAKFG+{9K3e`my zNFe(w;ml3VzJK}eU(&>H1s_0HV~R9WA7b7F?GGRFk$@Lw%1=xMe&+O86(}3y0dW%M za=1VO!Kt9?^9*?e7qwofjZ2goE`$6UF^-CAnb;-#HSHiXr9n4V=sfOeu|Zl~g7ZOU zf$_-kD&?|>I$XI_be5jknIC!f(DSxbt;u2qjWk(xjXJi}Hh%tIT?z!<}-#YVC%DDgEVB!B0 z%ANA%#j7kpsfX9&?*!gn{-xjht>jG4>Y-fQJELfJVq87MD|}~hX*nJx1(7@!3W2Rzr z3ocdND~QIgT%9;gq#kh<%_LhZWV!%otER?mDP)P#;urg0&gNE7@p!S>G8ouLVp5_Ci|a%;B9r}fy4YyII?+90$`?uHmGqJPhVmwW8L{>PVBRO^bL zGKxh^&W&3Lj&O7Qyp_)N+UxDwit!|!K8xact+V(r0Tk(aVbxRHRgi)Lk;%dE{umYv zK~hI>Jh_ccNl@fzQ+;oy?1mUt1^JNC$*0MvmbCTLa@os+37(c;Ln1IZ8ppl@sMbIA zg&td3txpgy)6VhWgt6=7&V`-On%2j-e0D0y*Rx_8^0CBrx2O&m1X-!cEHbaup1QAb zOMJa26mT3!ge>+dX4NrSpw5(>FiDt}?7%6~BODuM(oP9|Yt81kqHtQDt@q|HyQfC? z`2FCN?yK3brZRgwxAt`iExxJN_O+LnS8FM_%A4(vF*GG&JG-`L-zg`bdINT8|AJ7uSU*b`R5}i@>mj58*~D zgMLSAcTp_9$4CS@r{kL`%2$qN@k6-DJ@ZA{>LD%n(f|yt8;t>1JgC;EUtUqI!@$XW zEHr;3r;<>&9vRA}!e!1UV@ZImb*W>?O zFH~%W*pxdtDrzr72fT%fd*TlmLj!8Zwp8B783@;9oYR9+%brI#6{~?C?d~~1)%vf_ zs@Bjf9k>jUB9u62zSN11$jKhT>lyS|9sKSZqvA>RIHAdvi=&cD^P-Vp9(d!x2qlW= zgDi_sNf6?8TUNAlY3uyPKI6-fRqWz(WXyIoyr>7Q=4D}RN;5%X`q=g?5{m|^^-p0~ zUajFKN3Tz2o^a+JIZ)TK@Hpm%{{G9m=^MSP{_<9s(fX%IpTjusJv zCOrH!KOY0cN1Hm|T9}k^9;`4xd6-c2ZBMif=}5TThWBv4vW0hIbNVg?ACg$&KN8e~ zYF)0Z)`&80kKqN)v{YVru4HE{Nh&L+y*ee@E=(7RSo1W)v>Jr!Qtt|df%5`-I#a!>GX9Q>`hzm;}21pOZI9(Nz6KE9vk~fJr+v!R@S2X|=VrzjrSb z(bgr}+NWsiSAeSZYnE4Qc9OBRzh!9az%j>#{!zYgFuc}=(ALw#^C+^UJ>!bDjyJC( z#OmNU+hOG;V|iF(KmBAsdGO?woa%8om$t`g3rcnfRy#tpyL<9$%MDN_+DuXbB|>SFI3u^D$dnYtxu6aZEan~`9XaeH{P-PwYo^01*+CBomH)Mq42J|K}1^* zpPFVGj#ekXLyc!Ls1?&p`EQ+tR(v%J72hIHHHA#lHo*aCMpe(KGO9svn z0Bvn{fZa6B-zAP;SsVQA^n!4U5cz&K$=lkx+4-~{Uz?)MXZFz~nm^eF@LgfyT+JieC#If5&JFHKoVU-(idhq zJ7j-FD!A)4A#@>-u21~H(WH0gxjc3IU~AJECTyNfLSW}GSK(532G`PBOgk&zlQM%f z=$Dh_L%6-_jc~?m4^t8SJvp7t;tix*?`fuUZd8t3$)>9pY&7F8jRB_p=Y80V0vx*j z92`=u!^&#S*O78-H4ihadzzRZ88dtG=hC`uO=g|9*74mwvu%g-@f+9W>;!P>xf+Y* z3|D5gWW}SHPh`1^i}d?yyNYPNv( zW+pZ6;-+CJWvBSWA`*D3v8XiJW*L@)sx>8Kg|=2u^#*^Mv~37kkpzCHhbcZle8?aO z@!9>MStH8=H22XQ)3joj2$#iX(VQ#`BEy>w)iUrBK&V`FtA-`Eg2);HeJZ<;e9#ZD zvcSbt09!!_xY!D6ay$ok2`QRtUB^2J_hJY(78mkvM2+!orO$x}Vq3rqQnwdxvqDHT4ppW>3V7g*qHpE6iXl z@Qd*ggYs|@yx50ULS4yc%*ucTIu-0zKaW$!`dHjUVGjYnSfLM72fBf%Mm8*v#5-I) zMika&c=-3*{8QAQUW5+qED$H%pq*r=D!j;NgFadgN!JN0H5EINdBBy*9$_)DPob?H zj;z|EP~+(vw6#wdt{sBovd5-6+Pd14)E--NR&iN*?L>CYH>o9U&2kY2)aD%rYxEqN z+Ehu`jD8#Yo9b%Mhm+WOMPGIl=FASBY(O(AFqyZe7pJpb3F zBL&k0txY)IcPq8_0fcRiC3*T2weX6uwR<-4IviuucL!_!vmVFTdNf6Y>vYjnJT-He zS+uRYrs$e%8byC6iC4O8y;-yDU4WLAL4PAZvX>_2WT3sJGPX`o%-^Ry3vn}7vQTO3 zV#r-Tm30+5CUr`Q9(akoe9q=f+WIH|^cp@XFhRkQwx;83k8g7}jOviK&c;+EIB z1GOjX&7KDTiW*L1B*w5gjPu8blaOqyF+c6 zjEEFE_zXTB2HusrhK~r8>_kf0X@C%LV%%phjLWYcn_4kd%*n2>AXRJzz2(xCK@Sos z*&N|5Z7mYhyga{T01GSz%#|AVGIfQ>3c-~h(#4_&jC+YfN*0k~kQ-f1C2LIE$bH8$ zk6#L*%<1kfh)|=Gc)_f&@tDRVCYDryW{Xk0sL2#mSiS%NQJ6g*-B$`9hsX5>3btwP z;_W1S0*B$kh(aQ#fsn*ak6wt=(}8LZdrHD_p3P}$H-+HbFeEm(_{dUEX>-J^pc51q z#@dnax<2}_x1neHH#Z;#dO|e$<0|c|1C+S43jTudik50nngmtKDfEs+EGP`MC*|GH zy&UA%>fqV(DOD7i)*<0oFnJ;=m;DJ68#uK#nyQCWv=O|SQ%OU`g|uYHJlZ;rtuu|S zY46iR8ET&rgSMW0#Y}iO=S+B{yzJp+K4JFS;aX_v3ZdkKutb(NCL4)Uui{ifOIK-Y zAIH{8kX3=kxCug(uMcfKXYahYr?1{a!6q@ZH9k%ggzwF?q^&pGrO76{UvdW}F;h1@ zP;rc{$y8};#?}Ykx*wtIoUwkh6I}dxOfhMm^*uCJBOO=v6H0w)%~7G3hulN+`^F!Rb%V1 zxVs>RJKrPV;Re@->D@=x*(1*(%JU;M)@P`5&n9dLLtFcMJoV@`HhpxDH5P=QHC0!a zAU}L@Kt64M8)#bpt214UF~qns6V4MV7*|?4^uj!o=G>*Rn{7fiyZ2s8hSmw83#=jb zlSS+C<__x8(=NB?iED&Wd0+ANK&@)Dj+S=cHd>t zOj>pbITJb_cKB8vvExC$l|3PAQjR5DZzT;TQlS0AqbQ<9HTybJU}{3h=|0t+d-=8a zRUntZ&nr2``K<~bUkELX5=f~CpL22(Xmn6&dR!!h#mkqfHOC^;z0fg(4}fH}g% z9C;ZWW_?~uAT0n87-nUP$rn<3GcrFWr|#grBWi-Cu>;$RW`dE&bO=?b=G-U|hy<>zIrh_Yz9V)3;16v*bYNhv4^dh*7BsJJkSHxS zVQb4}gbXoC);&>izOG`?*4EWERwMM50%>?=qa}>3Yn@N)MQvS2TgOekZBiA%^E{hT zTi=6hXzTR4&$wdd?%q(FyTHoI$u1V8!E!sLPd_f%# zBv8kLYapwRNB@U{?K{mqHr2Hf>W%wIty;GpiR;#3`ff||+cyR~vgaa|##ura8mW2~ zMs4c{uyU7%``YndrAwA=z4-!Uh7M!v+zq~uPt2pO%adDt{=}V$A5~z7up1_``Tm}v z;(1yocl~88oV!3mX58KwscYJQ<~h&EnG~%PXyfOTBKs1O@4B1zdgBgm>z=lqK?^rJ zrMw7n`Z#gopqQcaV*MYtUCe}sG?kmBQ~|WL&)CJDY6E=2*qWWWdwbZ``%(662x&DS zy@pN}g-2Uk`t&SU*!S3YcvJ&CAP=L*cT?oHpZ)S$Kt>Pdt5e~ zlP`M9>)k+}3j=b($A$!SvYvYx6fP!o)EFpUK#fpCh6@5#^QMJ3tA|J>=A-U&n6uCG zc!FqK9UdLm(0Ux(Ft6q=GGh;rD&wH}5gbP9A#^+*#108~>bN|CZLORx1U!h&3A5r( zCOG6doEGucD7i%N?f2@hn1aDU)5Z2#+2#026+{Sum#6fTl=*^UO{?8#nA#9D?=1-& zt2cSlRxMW%sR|WEx-UuZOs*`O@aqao>EnT^2 zZKqn_;ajPapc_np502;IJ)97SHTh}4?wEJa+{jXoAoudI6oI0l{m`bljxk_xrFiPC z>h-vM2myQ5+Fwi_uW5js4$z#uyMYAvFz5JvUF?pbty{cN-S~Mg1Z>Xn2;(a0t3tq+ z28*$|Dx#&+n=wSl$fk`jPtqmNq!{4Q;Bj=JgTdQt3G4w>T$3#bD#Li<>Zl17(j<)7fs+@}tuco$eQY4wyOnAtotuMN|nh8%7 zVpV7a?Qi6pKU|PuB@Mq|(td`8Nwjq_J(x?Bmi=g!tlzzngd8)g?suzDIUGZhff^MU z1JZGJnFY=G76WOhkz(#71qN-s_#xcF(TlnEg2&e6VeLUC5*S;D1Z|yP{~2yNzMILC z=eC%$Bup~4E|zQSP_eekQ^|s?$@J-atu;tS{q>>HlQnTX>08SgGxm7 z3ojJoWp!alQrNRIOeAc|#@I0D(sgTHK73u@^f!Mjbv>X^PpMv_9|xpWM0bQeJqsxx z!ZrV!z8Vy@n}p=bwMqH{H@EcO(;qHsZ5*(B_g<6?552lL)|EpwPA5@^&BI(|`SiQY zJzSp=|6n& z)UAh@`kLLt$-k*I#7{n??*|bSav8xZ2yN5S-O4mzfw4zJ{v=2~!aK+kwY6HK*rLk? zLaT$XmQ&pp&>X)K)}}FL6g(CpnUTZM6HC`EOyb9gNHr?P@y{wr9`G_1A+Y1WXdL_&fI)gD@L)rV1 zP^CKdIl18xoNZC)>K^7iv&=iPXh-6li|+C}Kd8C&^NQ8CTZq@Hf`M4xCVO3J-tKuLiRLlWXYFLF4WD#R4HW2c}#m5uI1$O)o)1{fO~w zANl4<0dl`6rlQaC#~)nudw2c*dq2Wt*fJ=x8OC}{M!RlDFh%oO(3$|Im zFR1zK2AXo(8+Z(-B$I*$37c4^gbZ~V84~h`%qr=Q^iYykpd~P=xbmX#c(Z1X&(>>f z9Wrmbpu?ziUGec2SNFVPY+Z08zV@)Mg!209ZmGTdz|eAcR{7)Dn*G4lHFd815w_R& zrUA`h&k;>~Y#rCFHQRdu@CE8kSd(R?66ti3c=MGgtk!vn(y}8N7e8dIIgAnQRfsNd zB#N}PRNTm!nK&SI%!Eg+)JO;CY5^p)b%C~aD`vvSH{i!stX3*Q%c1y}Km2PybmVVu zUC#Lf($@DddxW+&F5B?o#f(GNZw?vR><>d++PXjqYHaN)?v@jMr8&9c4y&`v%r(*0 z*}9kLO-LX_nLobA(l||^J0qG;F@>T_A}+sAEfcv7W3g-U`I#hR2<_-bq!YLQNYAxL z_8?Pk58MhK|BS6SB>C3wI>y%ArSZ)1dz%x?g!e^O&t|B2V%^jq-TkW9FL%FJaw(yxGwaYvDL{)35re{(tzd$h!_ShE5Lq$>!m}o^b9B zqxMh|`~5|u6A|M$ryXZ>FUNMmc>1Lm0I9RbQ;OMDEKi-N4KiisZRSzd+7FM|7<)8J zZJ4vE+c?j2n>UlTCf}F9Eg2t^5%FU7&e#A6RDrVl2I^@Uh9&JJsu#ApCb#hmOVqKQAJTl38Rm98Q|7?T;mfO2sWd-M z2F-U`mvPZq;weX*eovgctM~!8k=6FvTa6J&eI3@#u8E2eg>0cJLx??UEow z6~V{R{3>P6k!^S4z&k=_{S+OT9s*rb_r2Ia&lI}1)CLrSk58! zpB+E=)wllVS$0S-0;*#IOLnB!=8h5-tE$%1K<(&!*yGe2NLAY@Jc%;)*2RG5F$8#2 z+05iGWvnWMuBrHnF$|aCcLcth0_LqS>OM0^~Hbh(oTJnb9TafXHU3SduX)MMR)`G?X8Zs zp8gIMsWZ05`vTzbow{UmO_*XP9BtjpxEw>A)SphHD6Q-WJ`0&YingXpPLHw;i`u%g zZau`arE`ICQnaG7JmF0+DNW`6dh*;D&=grOULmW7W8vMk|aJqy<- z$^6`i<@?s9T3hHeCd&xC!Wd`~c7XVjHQ8mBRhMzTffD@rBrmw&b9CY!X8W)2w}N$R zzJN^C-7(S5AOs7vT1H|^TbF@5yEwr`Rk(k|dTA!^>C}WP52LN?l#qLdQ?@!5%!J=d z^RaHIwODF8oLzGJ#{6f0^h3*(qZ>Y1um$)qxKGzMqph=0Ue1*AXksITI-`Plgyh}6 zkwm=i=E8SKt@@r)A-f9wb0W9bX>48AJ%`cOTVr@9H^%M>A)(`uH(}(y_s{xlm|Coo z=_{%`U}PKhd- zDmIJ2mY-SQws~QQj|RVfPNsB)z6vMAVY%y|i_BsJ`*^ewt1^Icu68&?Os}U1bhLE} zw}q3dg?Ut0WHexlv1nHqdkCzYLg5OHc^W@sl2iqPM^MCv%CD z)%ewA@a><>-N6Y3n$UOJCT%C-Px{(k+n>*6V{%1cT`h)r4JWg8@6kR{85w_sl8BymwE zmNN5O69RM%#xBvEHIHjiJ~rom+}(IIF>fVE3lPmDqeKot08LpGK(^L zIF-8|=`oQQQ?5%%Cl=01K>UGAPQUwq{QD0@38KBctU}DZGba~m{AWVZKKUqq%~}wR z!YUnPUL72frw!T_key93Fb99!W(*OzU(!z!MVfIhhuOX$>Ovs{JD`gvPFHtU=;Dcz z-Kz{IFR>pJ0*R-~ENuNAZ~wjDeBHnMvokL*7V#!@gs2NL z4$?OXJ&XdbU}EgFm6-@I1SMI6JgUnz7HOT=0P!;ME3WiNp!tW;H1T)6M3FGMm!p3v z+Vc|p-}N|cr{DdBdW4P*+=T;jE{ zU^Kv2e6+I%KFWNCA>cyDY3_WrqgqW`5R)sxfA8gcYqe$78t*~#Ss!cz_bERo1K1$rVJhy0Yp^9H1=jTPn53hQ#3Is>RYKj z;v>-(`y_kf@^ zpZ#d3g<4im-c}Wa@tQC6U4XbhzquJB<5ktSv72Feg5h*-;Qm2A@K-plC=7Y= zI4MB?U3ViNc#`39-+p{s$RBo(&e>bxvKhi6AwJS-{+pDy^fhVF_5aTuTWjH~gYo9J zeech0FCNtug}X^BzXgu=a@s|MlNoL5eFdO~PJM5AwWeSD0$9gt6p<6i$uj8B$LJ#7 zHI`(aD#g7Vrjx$opU9Zwq*#Sl&^(8O?jO|0dWx~9=`SVF;~Tnp{O-{AKjhuQUPg(J z)a;I_*PC}R8#XT^qUlmXSjjJiu?5WmQyyazadc0uh#s(G?kZf1&*1YYVfG!i3CDM4 zULiNt`sG_~WwnN}Oyj=RGySgR_d6R|ci6Jw>vE@Z3E53gjG3SKMwT&#%V`BJ07gE= zdcO_{Pk$`Lx?_*S%fjVZ6ooXNx3RB7{Mo+De|HmE-Ip)Xolc!s90=mZhj(x{wnon6 zzeH@jB|9jy9IUm57IT5#f!#?HIbKURFsr8jEI5t*dn>E8FZa zv$N?zdx`@$v3pK{)UD0JEHMuat#eywTDn0PJ*|3int6o!$(V4o#owQ~=C}ET54?^K zZwgao|2n(q%bE2ZtR?+4LCcA@kk?Ym>sRHXki7%Dc8DI?d{-LNy+(t3MVK#)B7HdUPUKYISiH1j@4G z#a|l~i$l;_f+32*JBFV#=hSykXwL*RVk$fB=p?(B^DBUA?PJUVnebvR-$M)M52 z=Ej94LJhbOpouq2MJotgoxD@K(*!? zg=N~BnPs$faqk|Q1hjREwjQ>JGh2_g9<2(ekN0x4wRPyOtqI1Wl%h>1;)TMLBi4}+ z7HvI|d}%4%)l1xgx9u|Qj!wixSUJ!$hPHoe0)DV|DO zZ*6PqOx$&Y5Rjw}rCi!6tY`UJi>=buYtmn!jJ}U)xaY`N=1ZHe-$SudL2g6R(bfy) z8Y{W!__49Jwzj#({KT*4gNz zmf23aaXT-k8?hhDx|+{EwJY{!HF|J&Z=wPD225^^HEJ2Xa~sF4{&3(tJmpdo&QoMY zdfUx`uLQc3>;JurJ)*B?xjjpd`MaA^X=~S}#jNF4^RlF8m=_$br90@=2?D%$zb0{* zJwIBqel*u)Wt&0^= z!A2L>I<$4r+QR5_K~AefNSmy{=clEuca3E6I6UW}8qIGL?hLete=?zyz(DM9Z*B*7 za7ZVanQGId?TAnWRdO|T?Gl^1K`XCDoeCrB9E5k6HO&+_$7FLd=em1%CA^|qD*>Gb zI2zWNf0PFmCY!p!T!9xJmChlV|4!fwN{RPM_Ain#L3(SPUz7g4-X^wCY@|Y z5v@Q5C!^vN0lKz&1*)2w8e1uqu+)e|oJkB91YTGoq;cuIEI^ZA;784l2ZVyhVDMAw zy(;)*tH^mx9!qqzHcGX<8oVLgfa19q2srE&jinS1!RP>syP)&$a7%@p@IX}3wyvDG5 zxryjhEpp*x&m}U~H6+UXu0R?onGgnYY7f!zMMg^-6B5@tDWFMvE&x}A$T2NcIdrtO zOhMY()i|)qm~}!4*gL+dxGQ1(N#2Z9=}j-7?`Jr%(D&sbYw&&B=+o`tp6PMUuF6L2 z%(NwFYpb1@8XtdtLUBrX%ILi|t#6yu*iWh5SKT1k_)cZZtW!=Lhxnql=DS1OVYVL% zEz&RS#V}2q(xffv0NT3rr#Hsh6$hkh^;5>I%_L2l?*|QS9aFVDu%4tEOT68AIsKt8 zLGTPwb%o5P7tXxL);w|z8=tl(GjSR8WZj%Ul%_uBkqwQU>9G;|Epcq!l_a68oKAg8 z`ZXNdTEoq2(bj%~FBxn~U&GiRVUKF;zpqALY7gcA*(&cMacOIWi0y$*tw{^U)(aw% zQ(}H?Podn1mHl}9a=^md)4g$Q&2HZu8GKi{eZnD_&(Z+Cj_q*yJ2-H&pSqD=ZVQcH z3GoC7+&G;bn)n9wa_h}g6wEF_WlfLowdwInTW5xd!S-%;Hoz?xp;@E z7c_11$kj_?Pv2&~69)s*B#qkobYf!;%-@WN5g{oz(Eg*X8C&ysUOw~?VhzW*8q~sN zNoilE8a~4coW zIGL9l;Pg-cOCI7e#!ro8S7_37D5*ol+=8qkqT(G;Rub`YSvU}}P?5)SArO8Q3ZWxx zWQ-Ey2d9Hu%snf#IBJL>Tb)R;5D+#I_2P5Ksdog~QYxe1&pa zuUq-mtIzZxE^tS-IJaTDuzPOd7_^qWR)Z{Ft{K?@&CdtebPDho*(OE>qc{#kuJ1-J zSWkR(M}Q2~lyEpkw+6Def`{omRw7WdvEMdW@77b zk`i~&S*V>Ev^rz!X0o0BH`@9D1@Xbzy`;zVVDpWYwwCbbHMX8WTQd_*RbxOJ>TB3I z8hqh*x;-R`kz%oUmR}rj#l#q$r!SzkPkqxY{AHG*jJiX3am;0p{G#=?w$CJSY|Xx* zhCkE?cWF+t6mjFz#kAh+J$4t=$9<}QoxbN3T4*Of`|9B#>qGaozY>^@M^W0^x;TCW z9xH7vX($G3S$ThnDdcjEw_}IXfVO4_D<8pOzUSBXJg^|$C&ql8!tjQLU1^xr*!tKF zl&S3(kk!QD9|v(z+M3Hf{m%WQ_T%e0F8s7pp`!h$>bU|@q&zpFSjiC`?T8NZ1gT#D2DVl&P|PI>!4x>G}Kem1|Cb!uuw95SyJn0 zYh?gsv7wP_1?Xl5*r8e#dTy@`JGpd&gH)E}QahM`x$r-DpcZUqFOAFHYm?Btl~Os{ z=7h9?jj$e->wPzxx_-;umisFxa0I84^frgHR_}l35a#d>ocJlL zn&UUk%up3MmW;Yv^xhZ7k(eE&kx87*30n~KaC#JabOZX~3K?;efC)~Ov|)0Bz1`T0 zx^~I{dhSakNOxF(J31mtJa}}x@>YB%PM0=YOy4$t{%mbc2*AUMPksFO894xKbjJ%S37RMTmaJ-nM~A;#8>CvZMw&!k*AuKmJ58$Fth`m?<_V5hH+)@C8$ClNZheXTQfBPwzPG5h%Iz`FS7+yoXwSM$l*693@fZjP_;2F zzCt(ibC~lc^d{4r%t_ZB#l<@ws{*4T2H`cDZ5k>RSjtSe+kF?8XC4~Or;)H0g44Ym zF>&KTrfyQVNa|4DAwIKW^l2no<+5;0<)R1 z^`vIPZ)3~s@o(lqUmKxopfxBz0YU=B?m&y3y1zn_o^hJti|-3fg^9O;T$#><^;E&B z`!zWS?18~&J_-2;*#uKTK0JL}NW&U-r=KC%@Zt0l`lZurPt!|7$#Qc>g$IVjYy+qf z_+CSIsZaG@i0<_Op9wsPDcNK0b=Jwo}wEFLJ8wRcX zeM|h#K_P8jHg^Z#`;H>%9lop{DWv2t>(-R?4NDYNRMhf|CxMGkx~Nhi5Lekr9O}W5 zRX!U>-*`-=wSnB~3ZD5JhOyu=WEoVG88D(18&J!fkr;ToU3eVlmv(r;d>)7xPNgpm zDr+8+ygkZ+Dhm0CuoFw5RQ5~26+jL$TeGDYQ8jK8NZ4ot@>H<}LX^)i7MGN9OPxGu zi+Dw7`p`C=_f^ksCb_c!g2Dw!O+>M80e4Jk`iic@gUYYe=>}E&9`w89Lfdra;N7~y{c#86-%lh!Lc^vRK{lb`5AL)>naej=u>);-CO}8WH235s^f6Z zHytD9aKsPPU}|K=1VZk`pr@9w+jC zpzm`QEmZ#@2RfD=7M80K*}DU{+d?izl*Pw6(LZFgJ1f zYKLo_%ydn{J}DdLM|~q2R(l-Gr)w=W5a6eb*$Te~0k>1M(bi+?9UZb@<;~T+inZwb zb%ow^q=3(<@Pm`{$w{FH&uU&8*R4bOgpc14$Egj|gGCE%-E3QfwDt3EMUAV~XZqMb zQobcH6RthqQCp|gKr!sGwsldDL>UCb)`u5AMk4}Pz{~0(=<1Jcnbb;F1ql)Jf3;%=O z!~aX%+d$WGTz8(g`!&D@1j!8ura}251rVa4ujEOTY{gN=1qhNMiH@Hc*&{oi>?2Ln zimW}$i8)?nGf4^{L_vxsffU6<=fi$x*H2cS6AP4dDd>iK%DwLbrqug z&d<5Pz{3zF7G8E{PvZS!k1p}#JeC)8YaU~%D>=`4!Prhe&C<&h*4h=`Sm#NfNr@2f zJ`had_P zsX(Akgu16Hh)%9%CBOiTtG!y#tS{gLfE^ZfjgU;fv+fS^B!59P7Fq zvaDr+rI!wL4J^q!FvVTDR6waD1`TDeU~HG+Z!BqBTg^D?ya|mgewOX_USb?wOPLanz6ypuJ}i{2Vvt*Cit{NY;8~p3A>;aV1=b^Kk|h@-PU;TIW0k z_IiAVQP6{Z=jPbO)g}R@e^>DyQwF`E=y%wO_8i0gUrCnwvDWc{pfW*mxjp30CGFiI zkV(!597ac1HN7d_@RV!4Ei}B%@UB|K@?5k0T2{iH|F~V79$uSvju)lNxiz5(uRY;$ zZq4IquetR+Rxrubuf#52r|1uo??$ee%K1mHcoR4xoW$~|<4-Uj_X7i5)y#mZy zHMG*#pxdO1AibXZ?OM{RU2fMe{oK5Db_ZI-)l-_+)&ppAeWahq<-3Xy16D7~9u1XWIi$`iSUHdJNGC!IYpse>ZrHg-O;OI0K`Cr8BAC~{;6=qMRbG)svYOM*=-1@n*tc2HmX|3ZK8mxq8 z3rQkt?U-8^#do~_67GV1XGIRGop>vpE+sicDAy9#{K266Ce#=)oda7M>LOYQ7f;hV=>Go-zIMU2rF z!>-^)!UQW`(O+?CHqB|6?CSfHhH&-KW8eIy%j`S*FuEVY05R~0aHJpWzUy{cu_K=t z6m-onU0x1>dRqv!`fyLt@}we&`M|xKDmuNZyXfe7X_WbZ!$fPDN);2>my0|TCQ*trl z-PRO43~(|Z$4dcGja`#TD|Av$ac^5klm?yv3mw2&?#XLm;!HJKQ9e-`wd-7h^Qani z-D-}r9lQ|&t)$KXUKODdT-k|`kTT#0DXse?mIYkOjIr)udo>~kR$QP%0a1_9+n|@= z3-n9Of@wlsj#(iNpz!q72Ay8KhwBcsIU|a3?_oP)M{0rNa_lu|XeBv0=a0&sV^>4a={+X*`t zP$~Tg<6Jf9*MIz(LoR-*=>n%qUu+_XrHv=TjG^O-BDo`l95F(KwI#}r<5G9mm{(jC zfb5J*0-|cKR|5Q9mV?}x_hm$%zBgO}z6nAdieaF}q94q)ltP^(YDj~qi(`673pd~x z!r{3KU_-02L0_N4ea7i|bz-gfbd{`wP7%q1O-w>V<_d5!GfKJ`xZxm!N=RG=S`gmM zZ>Ki1RH*wQBPJ!r(8Vn%N*$ca?n3z~bTbe^UUW2XaUV~K7NWEvbq*;zbP7)~C+LC{ z7idr6DSZjqGCb?*dQNfU2B&xp;5S zq3|t&?^AbLrc;u^*u8ou0q;-%`J^2dRZAmyt*hwG7q&HAW{JC;80MPB&f#D-e(WGv zXZbb3Dz&H(W=(#j+tGGO31JhMOrJ!srOf=ZyHM*<(0D!8&mYi9h09anEd<;Ko#WEZ zD>Oc;1S<7;XSTvoYIOPS!4-|&zb`S4-)Lt4`gZ0>9NLgswuDMXb^L!0brMAI;X$-= z2$|gZ`JgvOGY+0`e&v{Xrm2UCnEC@Sb>JVXZ}X<(E!_KQM&DnHC7yv+36rc3n%|u` z`(S^Vc=7;xev#iS8?Pt^P6ejfyqOWkYYA*K4Hld(R|JEY4+Va2*lnO&+E-QWJ+JvRU3Ew=pJ++efriX(4jq)$yBnA+p!o*i=W*p@i#9V6%SkmL+SMpKMls--5MHl+}EIG;v$ zfilT(O7 z(uImb*#f%GM;EC(_nx;yq*zuzbqBx6C@2o`z?!&SOP{hzE`>!;#MVG01WhSGN%ibH z=<(GE0WI}yV$pZ-0zQHFy22DZIV=f);&AfOajGB@Mu%N)+fhX9!Nfn25nROYcs9Gm zu}vq^D{0~JO_bSAL2P)s=HjEa$e&ki6^t?N2oJ)yL!^Y2Uz2D$*&@K^@T1-Ij>@i> zK(=1;bfJZm5-B(?dg2f#(+r&#I9-=spILh}2Xp|AZ0?N@jcv9}9?IM1S6>e3!Al?w z52>|V44v{|b>bKha0#Wu#rDz}K@qeWkhiB)nP6Gd<8DDi<=_I7nrS#5akNUM&iI9t zV)NMb357)M#K2-+UX>x2&ztxdv9u{KE4P^w$FLkIw5f5$u0m`OtG*2#nUl0)ik6c* zcr%Y*AMb#B8|N1R<@#%I1z-AmU1^UOqVJB*>$y6K2_1JU^;E>8D3M`jr!+7?b_H9A z@2Mv3T8vDv)+i8L*UlETT$-I_7=b@Rz_3Sa-CniUu8i6I%5Ge{jpJ{z*4EZY>?mCi z);gE9hWCUAQBE75^5aSP$E;|sk!KUCEjU8Hw5Cyo4ip|-(U=aCcWf7%!Vc|N+6l+2 zPpPJ`)}66Hh!oo@;L=*t-6e!!t!?&1jh2^RfwlJT1qvM7S2EMJ)}dpq?cI?~&r98= z-sb)EZm56euF#Iw`X!G0eVX;WjK^!C#-r>v^TLZB$+chMop`K*`;e96|8EbR+Y5u= z>EjihM=a-n40q%tT@mApTziqGMMwt2b;JZ{N)G(Z8+nu^vyclP)fXevQYY`Hx)gIsTs}3h{bC zl@E@XpZ$nO)Dn{0*pYBFzfsn1URZrYmuWH(+jz3V5kU2Qg{*ayFVk_?``ul{-(`m) zh01ctLnHYcP(7a`vJcR*PNB2*W;_K~RWS(4Frhtg;{) z5tO=JI?Z+NJ#PV1AB2grlRbQnB243n`HfoJ=~-Uc>y%B*%Ub~@Gw|k+DHI*A=Y7eBrr^58Wax!(Ygds&HMaOgPens1IUR`39jBT46ZH#>;yd z+KIX|a{DE;8Q8qRU&*~NtH37%pg84M)|_&c6fHb0meq?2LTauh#~EF=0C{|3koQ|Q zE$k?|mYT^cPNT`71Y+Vch=jf%DJGZ*t&F`6GtS(a{EeYZ-5&BGJxdg}&!7%#2ZM_{ zD%&tiJ!wsJDEGSEA8yN>J6J$!RE)Uno9TdlC05hl~{FMjf2rsccsICE<~Y*oP*@i?m{hC$Z)ia2u~ z>(5eI>*AhOvZI)|Q0&@6i~8WSHOZyeznhExb30aAYiH9(U5XwU?YozDF)50 z1BSj>bjm44zjby!U6FOq(`&ih8u9vqf52amWM=`jr}y655Ux)CC1THG@rxFaU3z4*`Mck zB1vU)IFPCuawg7Ugcez3A9j>{S#2!U(L#;4v2XL9^kkGnV}XRqxr!2LyV#rfZcdCL z_*#aLl9^SBmLnz?29B0R89E^WDJr1fZU$r7aS`%)E;3>zjOKjfvtD?7b%pd>%jBsB z8l{PwV4*~IR7E(RZoRTxEG+4)${?gp(c$vZlOe4ODmobA4mg=PUO~tCXbjLL4eN_n z-(~L&e!)3mKv(xb)-#czXhf~AMPQQG+=VGr<_YQv(28X>%l%Gzz{dw!0uZC;kc+#Y zUW{3g8^aYJi%D&X*e^%pUjwt2@=a%WYT&-G@OdGhtdN|p-n zuB&c#nS-lJbt^%00Tqm4C=@WyFN3E#_t3@1-2)^64==svUXBNFEbxoqxTeS?;>;;% z55n(2=-e~caUcdtDLs>#8=h>JO>(Uybt6#O3a}(f<2hkC<_$|xPmPX^}H5>J1)Npc%x3glP+Xnh;h31U_a$w`jgI7I04CeY$ut&N~nb z2QBEm8tCw2ZrvB&5|*b?{NZ#!E8(5FHHD$Mb-_ybh2oeZNiXm|^>*8&jh;o8FXGLe~d4zS(<95 znqi%eT>bTd(1*zl1K-W0Olw4I{hfRzwW}j(O-PGfhcUtL^7bG>$;sPOKa7b(CC|uO zFI~~c#{lyQwYtWyz52r(!7^z<#F~V3zEB-$ZG3U`QbL3tXV|_fJazAN){-i**0WMR z)>?Dx;AeNW;siNy8&g^h4RWPL>Ti9r7TUPIOmUqO3aHqw!CE)D$Jem*W&3bw#O~-X z4J6@|E_3Vr=%T|~%TL1}+HH%NI7h*!n6UOu?9huV!6(xjw!Rie=vqcbs zkXqRbn1pj9iGOxz(J{An2b@3CvDU#(+!(Z5C{HpW_kbhFXN@1U%+&`�#ahrmH{% zto6J?({>F!MCm(hi>oY0e9Kf%o9gOTA)`-U3J%Qa7*=#+Lf3KO?k+etba|gRMU=UQ zfwpoIb8`yi-Cj+E$;M6M4GOD<*MOLmXkNTE)O=A@z^#0i=#Ybd5|3kEhUAw3ObAgO zX)usT>>ZxDB4vA7tmx6K-~=NLzBL@3-HUK4z$sqCBseUBjETpS#FUZw;c2=dg0a0a zuWcL^;E_7>(>4no@20RiA*M(pY0KvELC-lR#i52Lq5a3aA{v)aik8ga&T~bnDBzNa z*a!LvbK;o3#_F@^MV;Xs^Se$D$-M$x$&9eXfJ3|_e5MB>c70`Y!=UW1kJ<%I*x!V0S9t5BgO zlgaTUB;-^LaquLxu!C`(rsdm!jAZ90o#xizeSAHy#c53W+>K^UhjGsbC(CK zbttCP9>cERAw(>V=lHZ94oqB{`S$+&zINF!n2S*M3?&(mqxa3vHfF4;_|$%+t17r(P;LaiiBh z{Ay?j<^II!_V$yQ*qChujLwh z+8SqubPLmE<$Qq1yh+aV8qPAqRS8tJF3fiw+qrv*f|+vMf?hXvQ%IIh@O~;G6i`^w z9R_2!8>G77AtCUGU)>0xUuc&xQyt;?^ke?`yUs{sDorzRNzJdcVv*15%*5pMn^l06Nr zG;{v$n%8HcG`DV@INV=UOPx?>;?c+}kJzm2>2`p$96jZ17YBcHtaH9{H+#MJAEQ6P zT6;@%HuKQj<=SP&+6>f(j_pFNnp-!&mxr%c-f6BfKeU%!cPA^p_pt9uWS_n{9d$1h zEqnX%n0R@Y`$Jjl=Xug@2M;HOucVpGr2TsacqtV=qoJ=%u?9gERh!F>(m>8=7yJ!2 zxRVdz&dFL^%I6&um)G}E;SbanL8fCr>Jq*p;`mH6TZQIk${t`1$xEH71)H4-*1X(O zVuQUn!N6i@8f(osfRNUGya#cfMt@8Eh^%mjXU76N%uN=f;sl(635K?I{)k3u@dp72&+kkIcG9Mb1SK>Pz)dFy>m zRWpiiXSzM9OUiJQIu^G*95k@0QP)k%JPseNsWT7K5!G~HiZbs2eUEQ-J*)SRWvy$! z@29@Lwi%S-1`8de+-W5i$XMH95FAIizRroUX2DyG>Y#3RgNa6XY_r&^@H6jaz;qCC zQyzc%dvY&pZQ)-kU3YFBG`DsNk+qI*(&_^*1qQQKhAXZE{#$?d;t&1a58k3i+JVA8 zz!3M=-ErZi4C`8J^pWu{?)7#X?3@G@cysdy?)~v{C|kz(-CwW)KC6z5=F>y{{SEg*V>!R(4fV{~Cpk-OrVZi{ z*7`}eH!IWhyk<~({WWQ-6??3;Uz=Iagk_{pbOOxLJax>i6Mw@nU1KfU&^RAhNvxmK z9+_KHPJ$s;!p(_)78AziRCE`^hyV0d-+1CrPhQZ!v;0mF{fJkM@g4s!9qByl4x>XuI_4(ITC7jju^buEG4B(Wj# zqY%eWvu!o?_0arp_I>g1M*qo!YeIdW%^Nb6sGXxNLxHyimg6_bl9`zIo=n6?Z^+>i z>}&?K6vEpACne<}l!?6V*`0}VgORbc9V1{Nev5*;<;U1hV7N%gf8zNOybfNv;o6g5 z{o&CyJvak+ubDH{k;~Fx2bfzYkI}6s&a|eozisRn(bjD=D#Qv{UlMVC`DotWk)DM?My>=ByGP-51|9n~s(n5mnG70(Ym^gf4>jOx^Le-~84Ot1@?h zhpH|(i0>ySt4HC3G^Y8Y@@0<`?&}o*)^l4BB687;_XKC};o7qmH|c35Jifs-KTJbByN9SX(#4$pJ74$vullUL7malx z-!~Sp)&tp~YU1(%I)>jC&EG|HanvC_@*bPJ+>5ax&WNJqclBreOOwu;-^je}zJ^6E z39=S${qn1RZ}>A71@(*Q>j1grLl4 zfLwgnTbP@Wn?XMxNH^)grvXPLP``yOiL(xUd|QOJ@MQYxRO#?25~(O#3I(M424q8u zg9tw7fvX|8RmP+75LX;);M-sP)VCk_!Ihs`4bt>9vN(++O$eGqT*pz$;lqmAil8{d@w5g+kvziigjAUC3 z4mLeoEsHE{m{b4x-+lHE+1b;Lq=^KT-XQ`UH65uaed2vmmeDAYElbPS?Q%RG%--PO zGxgm9f~9Rj=YWiU+rjp-TQPubW*hO~2?(vIaCZ=VE5RYjuRv;IOVnEliDkGxNB{Ea zcRg_SWxW$zLeoTZ2%7us2vr2_jd7SoTh#kSfDhTCZ+9W0ld#9_^{6HZ94}&%(5&~s z{QoJcX)C|>UiGZq{9M=Z4|p$!jQ;=xsp+Qbi_*E!i>fI`P$#phjZyN8!FO8%9~|2zc=bOiM2m@SR&h_|0wibb!?bl&%+c~9OylbG ztmNPb!?@chI%p};Oo_Gb+HdW>|7lILj`c4!PqkJyke9J?az=ldnU}_+&IwnJJt6BS zTD{F&8g#5vWr7RTf|>i1#K%{Db5E}5Z30T!(q~GfxJpWdn_%3!A4LRC<+uixZNao? zUTh?_r$ztPvum0)v`R!D^+`jdHDYA* zlh!U&t3|kjys((!-eP?XrE(m}w+$?YPO+TB0Fk7RC0K18c=}MJb0b$DBMz%rJl=?^ zq2>gPHe(NrXDxjD<(I#jt#8)O4Y07fH$>y_{SGv98d6NO<`J4*AFX&`N0S}m#;5g= zd@GO^A$=$o&<2Cz-UOIlo$<)TVYhJ-&|YA(O`+refdlX~c#^k<^`W}9Dr!@xKi(#6hBip zzZJ2QpnX<-KTX3}Q}dMnR^a66!j^Bgf>l}`<+ASSZsDnpmPMh)G(*d`Nqu(5K)`R= zi37>#JR8#N3;S64*eE}m^~*odUf-;BL}B>d%DO zo_T6Mx0`mC>s6J!Ylm~7zQwaXDzPs@7^;QTUG7?TnzBB3pm?xdPc2 zY7xWxcynAsbZvN{S^rFOQM0Dfc5p+yHizU9>4#{B!-^x+M{P&Xx{I3iD=uo*1)~5Us%g@xBI%FOP?n|Y#iva6W73wE zEpav^74!x;yU{W?-%*e2jlDirnzy=YmI^cd117k7l9@PyRdZAztv)17jkPR1%@&s! z?6~W9DKrWC&iZC8B)#etB9k>s?xvs2TIRLB92DE&$DEs46l;fV9KC@FF}M>hfj6oa zRJH*bsj=35FV0whTT(p+TUhG`*19n`)E*A6N@cCtDGPbifaH`$ttza}+Xm6M?n1ME z+158}`~02M-#M|$QNmd3Rv*?{4>7$g#bKMH9+{5(9l=`1fweFPb#caLV;{~LRFpds;_G0VHARSs zrL(*?xn10>+22H&bWj%#(jT53O@*^zl0l&SLkqrpFEnbGmGh-=)pkHR=0#|0z90f}m7UWp!@k z!jLFFT8QDX({Rz*^Ag2Wy^GyoPt=Vf>7~8GX|0AeD1BHHB+X+DHX`Etj$Wp_1ByS^ z^=$3#g{CI|4EG@2I)0FJsplmKxCqiA5uQ5U8$8k9WJSyRLkPIf@Y^y3Fp+7HTk!0z zu{7BIMVWuGka468jhi*WRz`%>q6FoI8d9o&&`NM!41_L)T}{O!PT4D2Yp*-GL<=c* zCCKE*l9;MQU4wvLDaSbI2xTx^2Iy0xJa2JmKF;@J$)qwZI>|lmQRNo+0jvC%-b=3z z8Xy%ylu@>tO~-nCmcFP73v7dlYd9cEgykrQ5H|;OB?ff0D8%Nd8^sh@>yZ7~G(|VW z$cVZ10M^>u4ZAI$%{69;W*lpMv3TE|--uq1uyEkYR1HycYj3xW`t00@CC)Oxw;WRr zYj+OTy0yBZfp;@#qDEJq+TOk_O1~NA2H^?TdXDeMXYo+SXsvn9p1t$Bz*>?uX=wLh ztqbH(BRQ1oHC#c}R&Zawu?OZ`1HwVLOizdjVq=hFJ0 z+~sAh{j|_~fhRJgyrQ5rfOx`FFYHU>5?O2ZE5{vB?$8MsyM?bJ%UUPJTzppeqK$;7 z`!O@5j@EhsYi-|p*>Z(w$l4P;f;kC(;0i1@sH|T!COPrS>c8djy4KeQG1T7t%vb1G zZi1gmPy=zcBWP<>wtZ{O@c_Tz)4%}HPQSsfKKDdo&(`s*B<7q=W35jnr#TIM?AK#c zFWJ%4!`k_`WEA4oK(ZHDg0#|^Se~zD@kgEe}*Rj<*cAx{H&$N^v zf3c8SGOO_aG5XEiR-{&f2o4gqtrSLAqPhq4OIoRIh951Oj}h)zaJY>KrwA}f2*#GA({ghK8`?xn~OMINL+D^aA7>&jHG_8o&zRqD;WC6fiCatywqZ))^0) zdRk)uej;JT^aMnXgYY{jm924cfgJiSrt!9Tn)AFkLi+h@#T^nmZl|dF*=`(?I#O@p z(9iQL8-yI2f-hs@;0^{=Flp=)JrtTM@D4bY`Y4$y_uhcKza;G5SewLVj14rm{<8xV zoqEFV+?v;U8rkEP@Otd1A~d&N!^>^d!#|%c1Hzi{4YQ%-oOx#pcTP8jAZy*?#4CNg z%=558%kKWF2fn{(wI4^V%&mFK+Z)={oPOIz&-@oLfHx=be@CIJf3? z9+hfx$I9-|WF=hI+7^twyi#&>WM2H|beWLoS6EMI6MNm$H+sZp-le&9{?+2(fzM4) zd)%4qr;Ew@Cs>*M%1|p>>)5@3*%OxhZMFcP6Ye}8ukDF?N#wX*;$d!$wPy5vXe>YC zw2*-nES8Dj{HWH8R@^+hBgyL|9zhx5vGxutzWwpV;4UGzaR3Dke1!8SREYan2@kT? z#cwG%u`dld&)nMky|p5_iEYZ2La6g^v3M(M9W;a898^49HBl%B!;xH=7xiq3#|2M<1%!Z`s6F> zL-?@0?M+)dM=zVF|EvHFXuZ`YOFk=*H;!&+P!I%NCi!_Yhd9qt92xHN1^N^p?g;4= z>u3xSwgOp+Q4 zc>GIhatrchVz0kHIBrG+XC0MhJodv4as*PpoyevF|0Iap1$z!0g120R5RArvSj(`mw5#tt#p1bKeRC5xtE>mj9daTWU^ER zi;F=hQe;ztja$ykGVKnxJjj*a$;>r{k!W4GWC`yk;NY&0ky9W(kILEvans<~h&()H z4jerUEjLj)%T9F?4YG0?HQ-|aH8Jv~DRVW1a&71kn7)%B;UPjQ8ZVIF9yVe@Sbib6yO8EWH646IT&At@-f}O;HPd*{6Wr)2>6bZz2WuRh)XHXzuDQR-f4dBu!{%Q zQ|zPS)_>EnOsCoR22v)i(3k7^x{mjn>WzMBEZc24vx3Tc+MceR z8(tb~pURIUD~UNP&O~$`=l6|$Xd_OjkN;*cm+5eWfiAdhWMg~Ry5&Lhiv!`v8~?dP2j-A7hgS6!~#%Vp0g=g!Q}@DY$!sr zc1=I*uzZ3BgQ-FmvnM!xd5iW!R}r|wSO6#ng{V_a=HBrd)?q#P^2N&hG4PJK#e=qj);icT>lzNx@`zmXVW=}kLlZiWyi zJ`FyuinY#LT04#*kyB|qYFV1<2{ntI4W+0P7xUm)@mGR!5!40Nnf)|BT>=ufN~_q5 zX3W@*gAPlS+hi}~OX3nV96<&;c2@Yp0v>8kM=C8jWE5*cpl=azGdwS=HQ&Hb^`rvs zGFM_`o@b@J3}`j2e1|enpZ0@r>CrX!J1=-PaV?eM>M#5zD4Pd6YW7}qTdx(e)|Rsp z?pX;(&R|8bQNFwo&G^9KNW^*Vbmm;4#$*-YlN%WTTMDYs`5$R_#H zRS;i%$tLyOnh~ij*VTu&5!len7$Jo)d&7uLOd#GbJdxS)ePdZRd$`FPZ0|M-n(-O! z=F3CvZ0v1l^q!ixn`)WwO^?{ZXU#p_m%siBzwKRSQrXaDhpfGi=6#x;`P_|@MY|rR zlx^W+-3dgrva#POr*bVK^pgrosFd2XsRtx_=R54~sR6rh;UK-){nM&36j*FElKtt*+hl$dvjcz+~vAu{zvo@VA8RM}w<(4iGx4u!~( zHMmG|mC&hwG;DN`4NJDbN8(F6J~ zobc#*L3()#)fI`80^oZ|*SRRP$CWb!(Y+e@OayJMK074^c9d70s*4d9BK%W`rsORy z({&L!XvrzzBsR)E^}3v$aw?r$z9JDo*=XKQu_oqG>?#D6FHf7oqXhjaKL#>egnp53 zY!P`a8rHg9$3V*{hm4i*J7ulyp=l~_G8%T6=GL>Ugrfy2A%zoW=Tj*mcS*~K_e`&) zwvpSLi^21I(}vxdHurgr$=_e1F8KsfB@~S>Cjo2SmMqoKq9O)9!xXuyM!$dod0FfB z*|ZTqZ}cQ1r^UF->>p8o9m`w0wtiOBP$)BGT_A8vR}H8|`&h*hlw3bveae-ce^W^RM zQ2jG-^`f&uR>F-v;koA449xg!1OxmRUFv5aDLxU)L`m9b*%PgN>U&4Rki*d%lEgtj zJduU$uQ+*CbL-~F7pUs!Je#vycKI}Xd1#*Qf(mp~ZTa)dI4Xc8h4YUD_cdC}_UG0d z;CWe5Ft_IOMl(EoIbeP5Q^Q_!>w}hmjg{~w)|$C>XJFhCGvS57vY9t;EN3Sb97ao* zrIYhkoZ6EX^9NC#x~~%W`ZU(MF_c`JC}8w5UxxR*s+YZ)UB$=yp1LQ_TYF+(5=l;; ziWcpFrqE7%Z2*m%O>EpR4EZSBppsT~wTJn6N7CVFc=7dssXj&4NO_gURJff2fPBjH zYGMb7@IJa%HPVf`^qx4vJih=H@wqLC3@JS+mr#0W;7OJ3$Xk}31Q$s-oAcb`EZHZX zHUJu^?%Jpbd_7>k6Bn1Q(*=CA5YoyKue#HZBrbN}^=Ul40kgwFr4D;qmPAL2-ia>6 zCP~vppEssYOreuuOZ$U@97^Om2RrK{Z&(l|NolDQcN6kU zanfASiU8isJb&f}_1=Mo0=*&i2ONljhu+cL_Qt+AR-cn=kcao`QJwe!e8Q#K8E$7h zxV+q)g387$w%YOm8J&40gk=OqIT-@8B$L`Sb88orZX}W|l0p0mNG1JNp3~KY3`Lvf zw{6Jy3{$`e=MS>C#Hq!{X0>j1m-Ca!L5_|0D8`KH%)F;>EFs-!4{7WD>ejYauc%iRF`XLgg&7Y`cP7!);eTJ}NR@8rBD9){|eT6pPRYUmZQ~jBfwZ5se))3oM zt_&9U#TT)|EWBBClHd}#dM?44OhY!gpt)t^XZt+VXxRlGrZ z*cNQ!nrN-naqQhyWGBA#cs8e%@Tr?>K|N?SINl0#pQIDgBkyYT3mD8Y_zcrnwlYt$ z)B7zsH*Z(9Px&8Xg*gz^##$3`#e$6;kEsd*ffqVGnCC-W&&815m&8xfHYO9l=kt5m z32>0Ei+BBE8Vz;^$DB3xIj5CytaTaDn3}&8D5uqLyv!7Cpd6#T+zkhX{0736goXbgm&smNA)!# zYNqJ6H(If_K#3G_o@`~C7-mM4Rq>D?^ zjZKg?4-QguMx%4mTc7jrks}{g92kYLlOtjK!W6s_5*O$}g|#UHeB@g=n}JK{{qxlK zgCJ$H$0iHhq2+apm(9T{uo?=&R zj8H&NIFd?dLrS_MChdv+UnMf??;kej<&qpV{2v6mbc(brMs#|imBqH+M~D;Jq<*PY zcHsfMvKDiX9tA}3)SZqq-a5G#$=bk8-J{*Mm23@vEupSlL4lHs21YyP2e7OFqcEf8 zF6R|O6oJ|(RKULuG2cr;|<2bs)SEVZB z!A^G4u?7)hzZAok2r%33XqW(qn5P!uDu++!83%)q|elI znZ_t`y3q_uBj=L&=cjQXF%Ojx0&c1yOI;TDy1e(*xEEmr`Nj9}pfy!>wcD(7{C||! z_*Qu{-=C~0fne;L>eS0TsiB-oP!0^`^(1b_b~8y`O>voy-3%J`T<>E3T6T`}&sCI7 z9HK#o5lGtMbQ8o&gS}q4b@Q6GoaNV&zkKW47{mPY5>a`#1p|CuaFxdQOdHF-l-2RH{eRs9k{{F9AWjO~5r^%HA z-v7b@%TMUL37wSFQov1Ao-qs7;#h~RwbN%NsogAM_tiWpw+HUWSbYE5c;O$t+U)M@ znZKN*+GtsHiK7{rcMUIHF`V8_@nor)r~X^^5?>?t%4Y9wdkuYkC8QitnElc{=Gs3B z_Mv`S(*ZgMDXz{F$z*~v9$jv~elsP%*IR8%z0;5HvDV0IIjyw4qmqG(5JI@x=I!_^ zZLoPw*5EBz8yLDhy8jYdWt&z^oV{R*zF;8aG1@9f3Y-QaIbC--$@dfq>ZxoY0W5bb~YBQHandV$j zd=?h`_1q~Z8(PNEpM}hEXC&Pm&ZA4d&4GI4Qq+}G&=@5aMA1}gw~)fevsv_h55elx z3O(K=rwS2QK!GG7kSv$3wgaBp)#iZ7xX5?{ z6@)KB3u}D?)_VTg)UyvatXgZh6ZaI_OOZiFXm85e+C5(Z=Mr!jtYRS>(5?g2b<4RrXM6d2MW9J zt0*udrB5^8Pi?|lvnsz3bfECb3W{a)eK0L+UE@QzWhrbaSwzyO?D9{3I!mVB29dk3 zw2`j0Hk%Ewmhfh|_eZB@4J#h4wf*ssODG?+Hy*R@M##-`^k{GQoeWF(eCzYalQ1!P zxy`%7nO&)x6U;BO`oD0NmjC~}nHs*=2BtPAwi?rCAKJt79#(4~Ztw7`!CQ6c5yNqM zL2CN^%nfN{c<4t`z0N~bc4F&C>id?aj~&n&Kv_D5wWe?cqdyxKqNCSkDW6Yg(-z17 zBjS_nQ9Cus(2r$O5;1Wq+AU9y_`;nIe|;zI>m^^^Xc)FLwre*}^!+`pwVv5olUmH5 z@&{D)mZxoq8OI`Iy}~S(46M!x^%(**CJW-dH>5laj;Wo4mb%@NR!o)InQcTq51Ed8_sQhZ0%L0*Z25H4ejEJbK2pc&vTAP@@mY)>mHbSZh3-ta%p%CMPw)j%8 z!+<@E|BB3prY320=)v+p2>nq~uHGlk3L1NFD1yp5KA!gXf!Zq3v&d?TFSxOF)b6B& zcR<*ekyTe8T1c%9gX&p@d{KO=LJWb>sTkuW5uc-RgLoS>YlTW5ax8&uU+0H~bt%&$ zf#-qm7J&Xz(=`vR(U#QI;PHCIwhkdd;49&Oe(Py2U^Y>(taWyN%!V5G z%;mDyLt)3lm|uhqs?S+w{9qjcCxn#kB$@@^3x$lib#Z~xX0DOEiM4JOXEGQ3WYQY? ziMSFIq)zk}qymSvc4RkWXO8(8UU6}WeS})W+TZh(d16jD{a+_ps z?dMi%HtuXc8p+)Y780!WJl0wVRhxbMvJ_lKkNSQK6Iko)DocGhpK(G-Pl7~}(oRrR{wb_=%?DSN;G+2W;n`0n#VqgD z*pGcKO^W?B`tt7}?v&}7W_s7_H!+3Gq;|B@($Cd{w6zphT?mGYF+c2T+a7E+AOLStu>A8K6vrv z7Ff1k@UUKIX3EtuI;_c**P*!5nF&+=b(28V%)b2(OP?W$TD-wa?DOd78rzh3>ox3&hNg+r3zbm2>P-9 z)>M3q9^Pw z#G`1R*rHxAZbC1J6giY{O2XKHLb#jb=cf~=8d?RzktvyVKaEg71imlqxQSJtXf0uo zt{ak+b4kusk&N-4_l-#9rKs%yg~n=sM)tAJQFZg67vZiEktEu1_amIkL))F6SS8%x zV|%cQ4j570yc)cj<29w8Bu}Pp%5@MU3Eaw^u$kD0q2gpg4D|$0olGF)tX+kADIHHx zvme2CQL^V7*5=cCPS!f(sEm*d*R>L!pQY0Vi;{@kkj+b_9M=CPn5=5nKFq4RSe>p) zg4T5~b#B;&2w_355lmsN0W{O>XSFWI^tdqIIRLRIWUZ&nd=J!1qn2A~_@%xg)drrA z--h+%v-O3nHLvqXmCUV+Ce}KBwq6_Nb3_l;I&Gnj02BYA?w`Mu>LnrmKBNhJSSN8c z@2v6Bi7d1~X5cFZ^$k`2u|aP-{1ur zVcvUP?wjE)Ss%1!jrX0ITR(i3iNNpNY_4@!+4LqTt&BCFqvaH@owesHkT;6fP&7Y2 z&J-7GJ@Yy~7C2OMvaI*2I{U9yE_Au3odD<_VqL^Y?}(deaJElqx9K zCB{o#P}B8Y4|i-iS26z#t*STpU^V)%NLdsYNtQ5%AJI&eH+^GWaiXE2Ny?~vpFBO` zH4(QvV*>R8t3A`LiHz17|425ihKs}vXO6eoilNjq9wBO8=-B46kO;}Ni5lxpt5Q@? zC{sCuCOve_zg(B@YS@R>TJ&t+_1jeRM`JSDM+5J6FW>Tx1N z^1*5r%%g*HDd`ynF*#`K&gh`HRgRwE$}uxI)82EPlwf3_8za9=VYG=?UJ)M@1f_*nB2QUWypS3*@Z0 zlDwvGS;l3H;1|`b-4?MUTIe9lS{Dx_$!9h8m$i;+FBu8xS;Csw7CY}1k)A1DDs8k5 zqjIad=+L_4#*4%yxpNcw`zUV^^2|YS(BH6oB@Gh;jnZ0A+Cz*L+Pk+j2oF&+1=iZ^ z-^A*2NyeK6kaAIRvex6DfWV($GaS#*5NiNykR1H*2V9t2TmD_t!F~G1)7ElePoHJ0 zU9MKy8;1HRt&QM%C`KGSLXIZ*Evhsr3%ext?M7nlf}QDq}o?Qi1kKu>zr@s z`sxJhFx)2wEc@EW>9OBMP5WG$_MN=FEaX0_F`~sYR{hbrqJTPG2OOS#JV~0OvUhh9 zJ7Bptg<`I3!YAgEXj$7*<>A8#&#RRtYjE!iXDwU)* z+kw{3#M|*{p0@O7`#GIuiT8ZQC=og_6MJF2e*FL+!bKBp1p~~jb1fb*j;f_It?24; zd1a^U97lqQqJ9=W;&rpkVaB;9FR+YJzmolXyR2GlnnZ+l^FALP)k(?(ovRWBwAN7b z{55{M2Yw}UwxGx^Oh~~C!iZ2hT*tTRElVc5anHb=JYE6k$S9=QytFXIPvmfWZd(Nh z2@mo%g;wK8`O`JiZC)8Gf5%S|DIWJ5@`yW6NFhrd%z2HX>%!>?!9_tsL`uAC$N`&q z4C#;SV{Tl0>o?j}H||~#gd7PgS@iTmUmqt)LWp7_QjFt!*V4R4AAx%#vw81u#CK6z zIQlcKB>+BYmD5vsHicq#pRCb|2d8y4Sz)&Z{>GA4c*JMC=fmcxJ&H!M^m$opt%PH(C(P8J zB`+xwNZ!N(OeOS{`m+0Kc0Fkz3Xm-=XCaKaz}O!am|N!$J#H!1x>|6OT6Q)Yub)W5 zB44~0#qB`&MdZU;yUE+&=g$X{S)F(_cOve2N|80y+&X@}l^02<-ND-}r*ViitaUXM zY2qkuf8ZMw3`%oidEv+U(r2I^Y`(x+$LH4W#*bPu|JQLvr-Ce*hx~)|EIhaNvZvdD zjJK$<*7mjW%$68s`k!E^ee!k}SHdB53hMdN71OrwXr4Dj{a6(C@x&e=dV25e-Jh_)EuHhj%oFYU-Yf zeDt1lZVkDOomsk|W}Ui`G;nY6V`Wea@Sh!XsSB><>EK@Ag{qr(yFBddGy$P)29C^Q zT=N8{An=T0GsLZgw?I-^0wqy+Exo$NXG!IgQ+dKF?gf+$U76~4`Ld;m4|a0UN85LY zbZ%A1K)s4hyo<0QwHQbpT?;JL5F;9+UB-k6_i9UsS8QB4>@wU zn9Rp(;^Ixjf?OGcA4e%ni29OBKMNiwkT8!}QyI#@i?2>&c~=CDe~_7N4>4tj+f3-m z`+TX?nIP|$fweYvlVCiDww$DkC}HB`p3Fm|L0udd5!VrieQ(L#@-n>lBU)zZM;k%0 z)JI_J9 zA03}fKeIa@jN@QljzZCTZXM`B{n&YoL{9U*u!N1)x|F|@V8h!@{f}c5 zo3hrwR`^q7wlM#BNsbnV3t@pDMzC04xe1!z5yO_+Ij{fFP4gcBTI^onU%rLi} zJ~>3C+XldS^ApJ=)|yRV@1zKL3wB_CZFu>n-&$>bvvZdZ+Ux`OQoNd5GxZ%j%hPAC zZ1$dJgdp*Ifu~;V{sJq5H}bLAvr}msVQmiwQU<29Kz*5qJ5Qa>b8X0PPQDPk*7XGa z3m=>Ot1JHfht^4rw$qWvOIX+2i){1hS&@TM)=JrBR}D{K8d}dfz7$iLnj^2RjJ7q*dF>550cuXTJUR z*Am$URhSSlNxEGagMn_TDy{g_ew&W?+(bEa140_CP>-psQcz==E4Bv&Vb2J`ca(Oo z!;KmoA7P2=l~H^`+N2>_G09~e{OTM3_NM>!UH`izT?>VSgcB>44v!^_F<`qlusKT;NkmHH&6NojAYBx$uJ@fiZObd(-od*j zSxI~?)q$V>`LBHXYs+QI7?DW>dh(P(+Dl*CgJg=uv6!q;CcJMUg(GI39f?>Eo!xR}{r^dp-{(T7RvDQ3~MVBuUp`K(N zJo1(|9{b7R4_~=9kZR#(vDO9FdS-1zwL9ZDt)+3SHHSKSYpm=}ZU1hw#$8lLsPgl3 z{{sGwB5<_UzkI-k&JIzppzZe_v$lqjRd5+^aPe*Er1{OSut7i#a*w9lWb2sba8CCm zE8)4#zN;w4U&si%2>dI)ao|6G@nMz^)&K-p2_Mwgnq$RW6V=-psf4BU_&nojiKzZ; z=rhPOqMorX!oGf%$;ScI9If?Zee+r4thE%CkG@(xVI}Myn^g}r;&?pGVOhd&+cBo} zboH9;o>imV(5GR zucP_F_uU$C%1ggW>=MK^2vtt6d_^GWr=nP^Ya)UoEDKzOI?OME(B?Ys9=Dd_7IbOS zfLTK+hp-c(1%c~zMFuaWcz*4`WgWcyGlw4N|99V6*Q|x$;4LBPT7K6h+|1Kf#7;!3 zORfZmUQcQ%6rd>d?4A;bHfD!+fE2Nk$hL6%cd=`pP8*&%C^nxxXNYCxg29LLV@cbvyc6sZ~IE^LbE1aNZOZ^^ay`p zwUb$w>c6U|{$HN^%Rkfp&R75Bvp~6avoOaSme39``&yfzu^+?`)=tHQUc_ z;jsr5QB8&#Eyr3YGRk`k;O+cd{>fK3yk$KujU__p+_!Oa!c}__bDm#pC9HKsSdHK* zK{@^z$5Emp$d($EL*zbEP4Np3ij&j9oIHd7A8~I3UDt8l_wI9WaCrbh@&N?NfGjx! z2&5r~POd1qRbs7V06_{QG07DxyR;f7mkdqQAN5mO)p7FNdH_K*%&;z`GB%{N&Xr6% za;mg+qpUh@UwdVWj*^9V(TS3%saF^BSvJqBk9FNXr*+Er`_H)-AN;r%aNq0Oz&&Tq z%$_}a_I&KwGjryYeCrzcf!7kP9yY**i#w{PS1+zN>)7>an4@8P*nqi3XX1j-ZNBc6 zV`e^W$TAZ5kw@ij21c%f{$S)#FJYCZvGaOPyAOC7KU z)Mge)Qi8~h=>(;^88W;0+rQpJ=duZ=EtqS&fT0y>w!y|%uZW)VQB%tLV*GGWHwl!OuOy#MBiyyHQ zU%z-+vu?s$>flW@w*_ax)&?HT7H6MIELqxxR=K0BZG?^cn~=b76*&JMKa3+SJd#&F zuGpZR@!Sov`ss>G?T&e$oiFGKjFi+um8?M1Bj;%Vb$yBJO!V4(p;=#CW_3W3)}YaA zDf7BchpTTFUP1F{NzlH|34#~_c{sqU8IP5nWt}4V@k6xe;z9D-WKpXA(LTNyOdPfQ zb4(b{O>|E&ziC*^L8D+&>adtQ3U%7COm3;Y_IUsfmsAPGT5NR^W(f2RvQ@eVKl4t zU9q~-tlh;;&6*L)D55|1F~-qf+kUfd@r)#mDGW7JALQqBL6BKF+*beNsFiTppvc!C zsQ>8#VGIOqVvBeuMOzg~Nm7{*b}NY(%te8lK+XF5!P?NQy%5C6teCnihC(}l@EyOn zuu8e*2Y3)8V#?_*(!BQRJ@=+l*~&-Yb=gu`(=iY^==#)RJEkk64~cC8Ea)G8Jnqe) zX8p}g&DsYsaU)S3QPGIof}*U_o}_B}JkoefzuHQf9C;7D1yL1aVEha|uaz?j1+_IF zlXoY`0-@tx6+7>>p0Ec_quly5!k8_nqn^w<{xUaC2pPWwXx1MgU}LieY21kFQ1s$% zTfh`=@@g4FadpmZnLo!}zgU#9?0JB_4WluSmfK2Sw$9WfS%P~(ZHkf&MMcy8!O+%8 z4c~kD=HA%;h_LZOvkse^bqoz$=RG`Dacl$9^eooUtEpAc){_<|rCw+GOS4vwR2*0B z>{-=8Qs0eviMFnwtsN)4q(y^v_ISkWaB-Qv{WNQbAqkfMS+T@IJ=nzTMO!;f6yHL# zK93*TdKhiJbQv)S)=t`*>b@=5yN`9EPa(DQw5r1+I$RX^ z*-X-#Ex0uKQ2|x?fzzW&c239t%jfnxW&$PI1%_wrt|M94-E&vYVH;Cc7yf=%gr%GA z4O&UMzsHATelb-DBQ{VvIR@4 zh9Adk6;#*zLhnkEUY_eKHS6Z69UnM07Lw}wE!ND<$##2cUV`A`bG@BqdMw1CKfuK> z${psbV|t){b_T~;BM3-H4^i23@)RHB0nq^6F=Of~dJaRE(!T^QYu1FjOK`UJNZvfB z5*B3591PUFSOHYf%6Oq3r;py&tM#M`5@*686QyF8=hY!~Wmq$b1{KOCHjl`6HZd(# z>&R&c1UP9B=y!wAR-?9`s0ea}5mr&i%WD=TnzfPvK*)c*@L;a*>o@5u+v}7yZ6>r3Jwjee$*21rd(#eyz z8OmU7Oe_{#s7|@|JVb)JsJ(lR0#fIl#=NE$TJ?gUt3zl@#*x5kwhv6A7fUzds9Wl2 zB0&i>nRl2`SoaYwg!m{5abht$j%wN_oF!v_JmzFu*ZR;Tafc(8h(N?rEDo5xmj|?U zw5>fy4XGm9(ylb^+N>=sJtAcL2!doWN<@8JF-2P|gZ_=sxBz{$HD|Fa$*lm|Iz?L- z@wKC^MA(3Xw)Xx*B#yRTL|gmNd=s-d_<~d?;|b?S#n)5Uwe{bxB>F@|(mX};*g)&b z&Vi&Xm9JgQhfbf#>~<>AA}gkaY-?Ic&%&D)t#LQjd`nwX-d(^GE^VznQw7?((FOm~ z;3O`cvP~qMKV91P)r-kO^)0u0FylaE&9<(>qJ4g}*P0Ag(u{D&uPgZ8+F_@t|NZ+H z@~;liR}&@Kf2+>Bm}-vXdGaTH=Cw_E_T-!G9nb9vwXQVP@X^+%@~6eLG!3?iB3oTMV#k zd(h5mx&u9hp{*ZRyZjJ7&+p97g;Br6-hlL0;dg<6Z4Jt?Yh8bJKqaspkdT}rk%8Ff z1munrD0Fc~AEt0G5jg&+o$ajFd9beS+W8a8MkFUn(V>`n;0;fD?{Ph@!{EBJvr7|@ zb!B0@K+;~EBxIZMA|rBTPQdO79B2g$Zvqy*_*D|Q#w@_BE?$?8Fwquib4(OsROQ$^ zqUEtW3%GRB8A%hMduQm2U3O*gm9Bm+`Z}(~Zcf;g8l278S?3X6 zsCY80-N*DP^m9H#irvR38Db}zF7N=M?LlU;tyjlbJ<#AN+SZIf!+w$R6ha(#9!gd> z*wzzvw<9$7vG9AkmCJ)+74|eA$b1BuRl(pUw(n#UR{&t^Hyb zybDvZ5)^?^*2Ehu?BKVPiy8if}|C*WJr8j2=%?C(w{)jcjYwN4Q> zhpq7(E2~;|UMdvYjNB2Bf(3HzQdfF}*oS0_-2FtBi82m|Ufon6ay(HudN0l~ATmj1 z9h&WQ*S#)r`Svr7Zwp;t8++|OgC+^8$+0_zf#4NJ3COsJxlRaGKn*|TlbnWVThk?# z%a76Xs+T3ltfHV9v_!PPRz2rM$nj%F0Z|8BL((Gc6y(|pz-OSG-Bxfn;P}MHJT4M3 z+7W5%lLrMJ1ffc}L@8*zlwD_2nSA!}BLWFd%GC+VEcecXIAu$R8$ay77w&46^W}S) zBMIN%y&Y{m5$K2C_;|yX*#bf5cBiy8O{+5?9H&p~HCVUiW!#qJI>*@*D%<+d>Kn3t zZ0o44^`4K-uo0ON*daCe9iw$sy;a(HlI>h$dY%q};R+F+byPVn;e9q1K5w|<|YigX8 zS<`wokn=r>8|x#14r$I_WaM41pwUK?o{^Q21J)2qC!lWoISj3yV|`;ubs!nN zM|q7&=s`a@n)E4_EzWVZ&uEvKbQj<_jnu3TlII>#Uk<|U2xBIJVVfpuJ5-qvP0LuM z-(`bJ5TTb<<}hwAkIvv`%%NQ7Nqbe}imp6tCKtqzeo8x<8J_Im=IeKfYFZ{W&ij~2 z-Sd<PAx@W$FqR_HB6$|1EDNm& zC}qj`pa|2yk=LL`!%J)QGSRQorNuEV!D$O}Ac5@w36qbqbgRKw`zH1jW&n!cc~O!W z8_}VMCO;hg+1^#{DF)HjdB#jQeS?MhgK(DTJ2CPd>(&#*g?S(R zB-)w;k?vQMDN#J9OGhXQEY?YhiZVYh)Zh(mZ5i5n(R)5D!Ac?SVYIbo!rkS2>lAAv z^w3lqz3w>Ly3xN9hc+97ySimtH}~?I5!yPBAHo%5r*vL;pB;YiXR(SQ7vKxD|9ND|5t>IYOda1fR zI&SAa_@;E4vU3faGv77AX)ymwGPpoypZd*#e{rN3E44M;olBDN5@&YhM+R)jd8K~3cco;aY&wmR zbT)15h>F~GpAaUh=g&QFW04AnZ8-TA18-PL>jwgotS4yeo9Y$X{%AihdyQ;i*8@#C z325tqV-E$xw)T0!YXK?I1K?P~dqO__1hdxF1-vb(rl?&P zbZF2H@xd!zFZG)4(2-PIsgWUtbcD*BUkmTr9M&+`9b$%5<28;NeCedy9WM?FV;n=arYtK+P3 z*{}#~7ZO(t zxyO7im4yW9hD4vI&WeTJ#Ca!#j^Gzg@;+$@;=*MX8Xd8(6nGj>?}tfTG!iPKMu;d- zmp1Uw?7in_s--|sHBA}GxHNLTCXX`ss@D*s#=%X*scAZ(iPKA4Z-Av}(r@s%iW4UH z_u0ryVyl}8Tm^rFcbo}FTf24J8g2bR!ZvM=R&)I2c6VX6I%mpWA={d1_mJD8_s@z> z&Fk6;yq$O#;r(f^?PD`pnCD?gGvRKT(~itlc@Fwj(ALocdW+++GiWNyyMVT?$+q4m zXqTCPX@wlE+1BSNXv|HhP9CN?X42OB2*NSE3fb02Kg|nVwSSrT1$?G9mD3ed_aWdv zdEc|>zB6&w!aJSIF)gdIVU0f?Gr#k7iKWbhb5z9@XI!}J!$~DP zJ!m(r4BTUN;qQN(i5e;t{dxNIlQ!_mfU|o~j%5#^ty2#|H^|)`$KAl^rdV&hE-IF8 z5jKUN4_f94tg-l}og3q1%Fhob{YQqy+yIzIdoP&Ry0w~hZjA$MF+5wf!Rjy2ncQg3 z@vhZEB;GelId5AsXB@FbW!}SAA8qlmA@0)nI_BCGq7)@vMm15Ak3(^I)xFs$> z_Jr%LzF+INVo8np! z0)>}!o|x0(TNdUL$5UieRqQ4$K65sA7RGIy0mpQAdc=lAU2r~o0kGsrBKfY8o7wo7yI(=MV=$q!}@sBg%_Es!G)vxAa z;=FvjKvQp9IQdmn24rZe~I-M*;4 zFWt&0JQVK5WOU)@Zck@dPQ*C`JIGA9U4M&*qBo}gjiJ&X_>+TKWlJ;RQmO8aN;+Nc z#`9BRZR@};vn88YO+v6U`(#@?=Rcnh+HV>8LBkHi)CF8<>j=J~A=}w;+vz`Rqs^Oq z>D~FdG~zfJrT_S#&C~{>W@VSz9VtsYf%wjj52l<)?Xay=7H*2+XD2$-Q)o#1?U|*= z{<>9Yk&xLglu?j(?6OsJLuyIr6&)r!bi*rN2nS-@@ z)B~jfTUCH)?QtQpg7fOIpK8+-5264~C~pUXkS9En=cOVFG-nu3QC3Pa!`@p%7^=&Y za>&0SuEgLgG8wxj=tLEnDF*EpCVgx|^cnK!2~PzSHf2FR-2o*!3Clk5&~%YZ_ekp) zO`Uqd;ZkfNtm_piomt_r(VH=zC%qtA}XiaM~W!rp=RU8EEPE01w zLI=IIqKcJm!H{XbuO8#XZaT8S<37q0Zo4hu%;I8B>$#)~tEwNhZVO zD9?)al;+|*_InuAx^Xf=FRbs`>!qqR?%Y&@onXk4x;n|-&KrjmbV9vCSYmw>n&LLB zA1>((rCBssmZ+D$__YAa0X>m>q>xiD%bEX^p&fqp`zvfSA*L@zurrBn#zex{EO%bv z^}k_3?~hd&nirD$wI1IgR~c#Bg&GzIW(zBMNs=^qE!95Zs60q8u`viwB-QKT z=Z$*Bn28h3o9cKAXHPooeKKn#V0VKl`?H1co#zs2H-<$l)hfM%l4X#eV#C?ob+(iq zOM+)`$qu}(P}{-zh|J(|wC+n%{M&=Df^b<9w4I;e7_<9((rlJ8JiOY2?u>(n>dut} z%j9*e5aTg-1k}@KnC|$U*JZYE!V*fYD_r;d0qV|2`+V9yY-4_sTq{`>{xR&Xy<}Dg zQ1@g}3mqw?2 zSaB9kVTVqf4Y3E^%;jZIzUueh%8JEJ3yvcS-DTmOJ$gCu<-!0p%Q%pK(Z8{5tu;QZ zb2=$Ibxk#7ym=F{Na^^~mLAxXFQ)J8uZ_HrB(GoSoPa{}><|B1sGNSS_asLYHppt& z^U~>LEwx@oIU1-mj~TH)PcgjJIMxH0Ir{0sV`F>&t$Qrx<}fCp(!24 zhL+uEv;&!$Y&)-dS8FrpxK!lew;k}Bz5+b|+7%-vG%f++%f=`woI%gMP#=IFlunk3 zMOhuuN1>fSbb}K{NjZ(;YbtM{WH}}YT6l-BGF>`DxCtb`Q%KMp?~Htv(Bc(Oh>M{R z2{?+GaysxvvEca8f7^KA6&NKW4{CuE<6R*WQq&OBs`Zc_MdQDzt%WOk;;CosoT z48I{1SP?59GHT~5C}u^95w;h` z%&t+C@bHBEI|JjGqT$W6_)V|tN~t=dk}kg2X3jCVn}rq$d2@_Aya;y##HJSFi|5T; zH{{F0n?KIX(I}q>ZC;-apF3fReI{Gld4TRQmM*NItvSd%*T{4*YHRHua>bKusd&=H z5kVL5R#d()U^ko_b2p%^A%=!z+d>-dj57?HarFd*oDDu>XzQEQa=O4|($?0qbhg`t z@bxSUS`w^YVBG!d12*!)+Z%T1yOJ5kOCPUD`YVi>(AEji1+S+w2eZQYc%HvUtN|98Bb(_{O-y2I>m-mj^FZoq4Z zPrcLG7e=2zTa#t=xw}5m`{dkhjBn7*Cr9m``4vtWT6o$|x%W_y=r%ipuZ_9A_k_X0 zTNv4$_nnb15B1?jk~ntI_?n~Fhj5K^gM*__3G~xEd1>o`_@1m{_aFbeHp%h-tmo19 zypTAXk#|S%S#o?gHDuWucGks3F4ycqQT$4t@3MMEz=WL*C$%%a;-ATV*GvZ_!*~6% zq525=^vte~$zL(hMc=xvEXf3Jn#eI1UW)xo)YkLTVt_)eE*t5U*xiv8`E-WVH&VKR z43g6G^aHs|%FaKSok*&9dMcHAbpkBiLWRvvfV>WV-MLj4_Fv3ThJ_KR(b1%$R72rl zBQmojT8M&;D0nenW#Te$AR^Zd}KC1iQ#r9++c zvqB@#zh(i~%lM zphn~%wmoRxLt92~@m`H9Y7bI|7o25FTJ%a^V9b&Zm$r4Q;?3PjDt}gf-HrGA1 zlv#Chm7W_bD$N14;N15$JbRIB9;sT-xy0UvZ9Qx3aPhC8D7r$->h~f-y1!m%ZWqGW z2ZrJXo(79+$!*t$jPKq0`UKU7y?!c4v^BQ%cZhdj*R{oL^$bm4BHp(v$geZ_L0dTR zlWAI)wmt)g==+7{WLCIXO_JNBtqpBGS$rzZ7A~B0=Q>KEwlz@NTzu;wv~BCzaFBD%zIuY8i4anHG=81oF}u^! zH~RDoqc)|(Hm)PH-kr66yZsHtVwM-|$##6U9$CBz89y=?COZ=(dLQ}R+mqSSoy0od z4gKb}3pG7NImmHU5PMde zW5RpdLkl%)4;N%|r~ILrGi1BhYZdZBXs7JkjzP)8VTzI02XP)qZ)gWy^*-!_wDmmsjADdafOlnh@;hu1q|jJ@2j+)Z%12y@;>Sa=UUb8?s54a@d}Qegogp-e%E-g!e&VCd3H5w`vY)n;E)VnsQTM&Mn?+k| zCftf&VpZWkFXXh=E|3qMO|Y%4{=hZ8J?xo^6|_s7jlt5^lV9N!kjWp0hdUS+{Wtax zJadrFERjt`tNSnks|4_$Jvk$fxZWs}EmDQ3Sgy2F~h(7UM2vf2>G z0v;kdorDkJT8_4Mjk^;!Kz=&IuwOjF3s_S({Spfwui8@VhdM{u6k6KW?7e8Bta!Ud!&NoUJybtsSZPb^UM^x^?ez8-6RRfe+eAJF~F;c3qAvy-Jn#=1FI$ z346^2rtug$+c0^>Q=DWeHgZ*a{@@ zHUJfG^t#&vuf#LzEWEcnrZOWL`XhNk{Pwt{OJ9`nkV4l5o-#K zKIi4>-PZ?(Ogu3b2wyJ);<|N@e`q$dUkcBvA$EhM#EIiX&$hHoL^ luCXkB$sH;OUUYV9xA%41 zk<6=aJ^Hu%lGv$BTicuIYM=iIj~T2zq$~&7~j_8ZSoD4CJT~dh2IS}-?pvu z>MwJY#(&ZnZ3{4uwhl9^NbcL133q7gp|8v_jq-Lg|02bT%8%)yk)QuUZc{WfC0QuC^xi zlS<(f=GEaxuE*SbhRViS;LQha<=eEDzx^NC?bSnA32<&B&BRk8A)Zo2T`-&cJBr-} zsNin-gVD1x z`TFGA#)1Au3pd0&QC-E=d9OE|wzD(dR@K43&YCT(tKXc0hTp)9ix%3?l*_^L+afsd z0(|Mt&2x*OwL*FHBwXNyst8i7e6Am#C-^yj^6m_t^1}vxPH`vssq+uq6*FDnVFI_4 z2lG>E@M8tdpfhCUMh7vNdFX`B=$k{8hB8XzcCCsl^#(8a3=yPh|2EZ-1U;z0gK}OI z+Ms;8fG3NxgLSildBAD8b#g<zh*A*2bzb7bRW4pUdZ$ow-RAx($eX>(L?)=+ z(Lcm1L>!KgdS8YI|FWakjdKDGLM#hjV+wDH+fs5)6dB{rxhY~VrGYCjuS>8RJFb}t zcY0Fi9=G(xhfWubW*S1Td9KKBn~->{ycnmIg+<4cw6#}(KFlwW(>jZ2&N7|^LT%C) z+i5**f*1ed*FN?4{?fBUF9ccZ>G|+gf|V>vo|?n@cW6l}r4pZX@zu)lVpz5{d?xek!im|6wsmE3NbA;1 zXzPibo~h?Td$76|9mk7r`>~(?#dL{z+BL|T3Af?!`ta@8)=TfI%t~8JVWjp4eMzP> zE1D17!`f`(D#l4qPh!d4HtDk0F4C=6j58z7SlCNu!*6Ppzg_xmepYXNXY&nz<=-*X zZbP$J{-8Cat@nSLPaQ1Jrxp|jEte&3ngFd^H;Q1z)6g5H-`ETzZ@S?FJ%8tk4Rs)B zPdIbckK2^Y;!By>9R0E<*|OK7t!?=o%+P9=+1?(nGc;&l%?9jDuI%jL&@FFA|10wc zOZeEzfbE|BJe||^Un;BmCaNF4=Ewf*&+qvKSXqZ-Hza*ek5=rZ74!2SypO6(SP-oM zO=PD%;X^a;NQTDFI(3$pz`K9xJNNwWKmX6ys}JFOZ*ADnpBm?Ax=XOP@Q5wT=Ipdq zuV7nK#YWNAhPL*VP)hJLX*CaJ!M@ou5$V-x@5d1QaKegf+8ViUOy|dbclA$y{Tn~B zzVhW{TW15<)-IfNc`;e3ZNO*O#%sO9*w$0Ya*|DIPk5Q`2Asyk$j|@VPY!LKzZkyW zdz$8R#-8vExLuu27^}Ky#kj}=js^`jpj~mMu|nzM@q=<|DxGP#^x2KOf)y`xr)T z2=gSKgP1v@!qIl25zu=}#L6^naC_Pf?REZ|J3IMcbuouzEc5u5T(oO26;qe(auoKY!;hXn5QLFGSwbPab890&UQRJ?$XwHS>YneI92vNy9-lmq77b z{)d0^$M!tD?j14=z_t<2`84YxTXH?mycfqrnH}YPUVjbZ{=~S?(AHt9Q2BEhN@`8N z0~!2})?g|+!e5u{w6E|67u718rh(ai<|KiokLr~<7ZN7AR-Q5U$45?@eflj? zTZe3AfDJz+?r*&fpG#Q`Z~NA@^GDwI;~V^w{a;8&mPZq7{$`#&^@01c;0QfU*#mrE znnGWh=>K%6|gcu+vFRcMG_7%qBxqAt*5B1#AJ$mHl>@p6u$V5-T&;5ZvLYUh8Qo6rq6T}CTiJ2o)t`Ph8zNnxm}w6&5= z=%Hpo{19fw))TM$OFX(Y-Izu`%Z^{6Em-}YfBR2(aismo9h7v!;b1b->q#?{8ud8- z9wjwj1HDRspCzDNbiuI(7khs6AARzf|MdDb4+~z2JAMr0N-3@fc6T*}qA5EGGK_Jd zEUeB?Q1K#7U7zQH(PxkK<5Tl^X{KkGc$dOA&OH4qzy0TrYsIgGArQ%sHMA($o4Pog z;^8$8)}T-qUJpNszG+vOT@N4m+FN)1-5$k>;HW${z^I`Sc;47t%J5EC#i08@{FskA zc%r}~=<|_TAX7*_@eJ|nIpvd2X^3nDP-3C%u8S1vEHnS2Th&z5u^588sUHWv$N)RpwCPh zjo@1M|B2hhi{J6n|9b->Y(PW-ZVtCwjQcFm2oRe^{LCFf8*kgfAD`_ zmf^O5a8`a@Nc%b{}~F2^s6!zn-=leqADfUkC=WU{Ah zJ24*VYlzJ zzf9DguWviPw!YS^!Axy{DgI)5o_;KK=3LA!2{7f{e3o^FWwztnm}P@~iR+so#%7>d zfA^nqw%P{Y3=WoqJWvZ08v?fGTmsF<>^wE8P76iIWVK%B0z$O-g0dF-9r@wP0=HN> zoE)3L@$`NCTICqSk~rnbVNd4LA2FMXp6Y&KJ*1IRU2RFn|Cc2mG1e|L>!m-xxUpFW z!up!x3n3!DZNgb>jAb}%YQS`a$Tb`cKb5B*0{qkjeL6N$w)Prypx=g7vq#bL(Xlbj zZ_uQvksF$|v&K>O4`MFyX+2Di4YgpBp$SJ6a*!OlyFNnGIkS;g%k9m+r85^)t=FKz z{^j&TNujNo+XYU^YwA_jxcBBMG8;Fq9;RTCqXH_|_j!BmV0!KqjZD2{t8*`8X*d4? z@h$^l!5$^I2H_KLDvZ~6>o1D`ax1h;cne>|gAtwJ3 zL2gVg=!nAX`-)FWWKRsrZ zR|dwz^zn~bwtr6}lG${Di;EyuH+WXY?r`O6M|`a3GA1@4UeU?NdaOA_&vhmXyGJPE zaNXn9|CrjIclFokZH|!t$r~{dZz^}kRI{`A2*Sh__RA9S9(oo!~@J(LAZNr}>#EEwGr%4L=`Sp>o~i7Yj-~?d4NjF_gFg z_R0)KpWIn+ktXLtPlR@uQ#z0*LKFu9B|jUY%8R!qY1ZG|+^oYC{uxmVZU5R1IFJ(; z(+J+GvuR4^wGk!o5I7(QmJX#fza;`d8;|pmSX+xk1PUA9T#x0cn)n_3HuTeu5_Q3r z=6E6Hp86z`mIMRTgZZ#zPMJlgK`~7bk3u(rnl+x)bx*nn2+ZMu5tps|Z`I`^V!Te4 zW^FHLdN5Du7=L-^&}58Uf)cP9T`-t*kfAb7A@#~ z(bn$qLvWHOXzQ@h_jv8_>@t!deM{RbH#O^qo?uwo`f@qpe>F%N`Soby49pHnep-P*@*$jT@gJzZul5zqPSh7YWxdnZsaM^Y5-nb>9S+ zH*24`-+$beo*oM$uMH$&^@uO*y1}BR4$&i|`){=gW}@wZ<4Jya|GqxAiJl(RthrU! z1A=k3#^NL|85JM9+`eDOyRs`gzev&S;CvTKVRGbHrYU+==&bN&^^tLMO{JWyBq>`G z%5J9jtcnVld#fFej*S<`!zImnq%lrcaJ+YTu(oHg2#1n$j8WXDLHrwO+O$2%Y+CP2 z2g2yBb>qMgPrzolyjeHXurqmu%}U|c>icIds~nr7U-4-&wpM~vZl0gMZV6=H$%Wd; zc}|v3%V(|qXi^XGc=?Y(IN1Htp?m%atu_}C7w2ezr0yf zPn>M7v02p^F}@uDKVUvj_LE#3{mv-1xa++&awCE=hCTPlU#h9h(~38)Zv4*ss-`e3VVjy(}2bCmf z?kVHfw0&)*Pr5SO-Xod<-})`-p)-(WZF^dS$u-y39MaYqzSF1 z?kA>{ug548w6%*w{Y~XPd;W(O&qbeZm(|^9<~zYUPv$sDvMs8R1;5|Fk^261##w0V z1@)l!AKv~}g})r|E=zUeT7 zgejT$Ui)`1mIR{y0X=8L(*>%<9*gHuVe!&uV_t@ej+B)CT zhj6_TzmQcj4(PA)+4^mPLo@9i@L>pT9bU~{I`h#SZOxJZjCty0am!79?pr@^!ymZM z+3laqvP}JD@V)uao!{f;h)@0R0-kcMe{(RXeEVPHu(Z`9c`}FqrTJ}`)$%`K#HUw) zr%ob7c280AU0@ioHI5|D#Z88(iEV#*$`^<;y`ttO#x@iKFDwnfJ zpBd|qJL3jI(SG7Trn6QVQXok@ye-O;s^D4G3Iv?U z>Rh)ZX1ZnD-x7~sPm0((qtyD4t_4wB(q%g(7mv!cO*BQK6EKDb-_w1pzfUtw1ZhDi zC1WwNn^T=jBiF9vu9XAg3cWMLx9`d^4I7PAwpNz4Q~RhcYW)lUd@&H%w(|z0IVpqisELbz)_RSGB9(#{|WP%vACMDbDk*SgEatial{A{NqKl|FIciHS5cCW&dN*xycV&g*_x=Uf#X`oNv|~{>!mM z(Dhy5QA)M(y-u1nyr*^|E#?kA9;4jJ_2D#~pq7S%^@@FLesr+jw^B@~8g+&ptOTR2 zC#9|9B2{PfD~9f&H7$vI)j`Maem&$_nB-PZn21CE)1KO`2|mxHLjvlA7uF;EIs-&q z{NoaPLOm-b?u(fX)>@vi_(SyXcJbw?PAdgEh*w7I_JHJ}(bCp2Q^bcFYxLQWgR#_B z<2$K=j=T`#pxa~uM#(u(-Jph2o?+%BW^efkhmY z#+67#=4m34i*h4QgLw~{nXuzEq)nL`fTSvgQ`G};n!0y}{)`l~;kHgS31cKPFc=3s z${Rgdv)s`)vwma1_zH4hO>~_&FGkz&awb@^O-jW}^LG zVT>aaZ5Hp-WJ=M^2EsN$!Di5P=yjM#XUJTBXq7LIrGEoX&Wq0-anRqKgw{^8U*$D`y2#1U znb*2Cn^Zf4=hz5g1ncEJ>E?qte>Cy((K_0Q|JnHRK8=Oxa}os#r1PUu&dN~0M&p>M zo-D3g55Eha6_Bv4J!5Y^RqOS=xoNg_YPf1x8s{7nWB-%=ua%;ixWu`#Bk)kaA#F9 zO51uzVlTc06a3e^m9wJUYqRw~xgqU+{HIxy^RLgnXgQnyMG=8xPSotp ziY;mD8d02T?!U=wy6?l}3lg!nT)u;G&h1o$_%Xm0DgdaZJU~GL5elkYvwpqb&$@Lp zL0kK|kB^1^$Fc$Evf28w&8dbIle-wPwBd>0&t~83ce=&7pGbE0cWc+@WYm3uKH#fK zR;lgF^Ki)9$RAFtQ37c_-nh8H?&zVF14^(9T+3^4fU_@ag-yq00x{kyJGZSqO+oFT z_TM4dM3J_7CfCk)9Q!X#5EwRQ!1ZU53=NNyeiWDKS3e0ECwFR+qO)4iQ%A^*c?!i! z7e%qjub$a}r|$S4@+LmU)#8jJEe1jhLi-gE zU`lu8)ux4=HZ8%U^ul`zbaf{a^6LU~{wZ=5B%aAE*l#%7Q=SY*c4CwvTFf-qDNDvx+g<5W83@oCVa6~$-y;k)k6vj!1}I}s)Ed=hr%12z*5H9}!c zHzstdTtQi_LpDkO!OTK7k&yq+Fy$Z~atx{P5GnHz<%h%9L6{%Gqke@K*bLtDqvl(q&6 zm5KKU|BpFKUz*}%pbw8l4+)KO)Sv${E8``=qmTnWHemurSbY9we+0H~6 zcOpnwUq(|=biO|@di44R+S&pqn=(I<@j?1qd7FAwg52jU`A|+zagCuVCD$mJsIC3` zs3^>YA7I_umRCq0ZEa&TUp@z%qODEZ`in#xsFRZY)-8!W^>w>H+*etc$G0;KTJOt8 zdlwr2;Mu8ww)Tr?YptHl*NLZQ%%j^ydCRuWv8}a3qBCG8X~-UIhS%I0tG5Qs%`Bhv z^pX=~_OrbGR(x|TJe~dk()~U&cVTcTB(a(y6lm`qShSIM^-hKJRy==-C2NPUtE0OL zy2TXR+L|EQkzG-idMzIF#bYNJvTF5E=5GI;kL20PiG&w=5!`d% zAI~b_Ywbg@qf)afG9Eg%f8Vs1M@Mj}Kjgs3(AJBS??5 zN|omh0Q;q6zU=(H;eYdaihVBpe06}~*YBsCG_+LEP_yT4c)}+QH^%8hNY$$abS7{Z*_Ni~<9z_d8H?6b;6;`w5Ub~SzZL0-dcepdoI}p$Xxj07g zvfN$E`jt))(2IA2ye}wE3rLFkETtGB^`gc4QYF>#NwBrZMcYBDB|?X5aIJ*Jr@_=x z8`+Tn49`lPnU}9nWHCHW2)S2Y1xo}tPhc^?*99r#0uCJkJ|^#RfsvNu_Wl;vikyh@ zS}hRT6=7l;(WlaHh0B6Z91Rc|kIiw0h9W$#3*ZUJdXiSMrQcPqV8(QV>Kv>D2coz^ zzH@=5&PTGma}0lyIm#+0*&2fcSRe*UN*B+MGFwo*@Ng2Gr;{J3J8MAY2?85c%`gaj z`3t$0hw$=ATXqV)A_Q~tKdhoA*$CX@Y0}iB^d@7x*^*d>67jZgWia~}w5i)-b z_q9Gh@SvYf!n{+N=nW!TW8&r8P9NLaRW(0|wk|hqp{E|9NT|+S=?N=V^iXDXEXa3d4Y+7)Dz! zp{*NT1xe1l(yD1ny__<8V=3FHt;fQcPmU*q57_fTSs6z8HhAY&k;uAdCfrF|$C+?x z>$Yu;iR!c`Jl6*mrLA8Esi+U9d^+TmxX|~c5(h;?&qT}F17XXf`%SX zq%gmrfrq<;-_ztpaa3vd4m7Oxt`VC%Z-YapDtVB$zIF636}=&G*SEBFj@6Gc6Hozij1&+kok#!y2FaYR8z-`s>$emj4i77)cmp0 zR2UJ?oBcDiwX-u7f1B2==RQ8JmmKoCSyq3|mkdlIFo8e4Y(6}f+MC@CV|n-&N!eV= zz^h$R(-RE0AExW5VfuzcNc)*vv&QKIoY*wvV>IewKU3|2Z5E_^HA?IL_m<8t7cRxN zUYF9Us^0P*W|5M#2p^}7KbEEaja#YQlwcc=1iYhKiwMSO2?3eZ3%{f%5a-r93tupj zs4-o?KuAh1#%t0E9l=?;n>5Mklqa>=P`Hgdd_subXga0$9&ktf+2}sAJs%iPmz}n5 zb9xZ%2x#lUF+F*HDf};@E@Ot_l|;+jBCQhQ-ODXk8shCB(b#llv%`?7Op*p3+8X8> zx;v+9C-5fmFIBmuN^_w^o@$ELj-QCf0!NOQ6d>1BiP7mA1yeEz&CqOR$6PB?Mgaz@ zwta%EXpmr`71Fwuk9+~Z;gP=$BKf>}hA~T0D{F9?24{+jAs;;TRIE!+`n6g>;8lR? zL1xI(qsr4<=4=tn;2QmQD}rdVGX`3g4JPW!B=pX3=X(feGQf+tb6iSTn_^D=6y*xz zn)J@XobWb4o;NJ?;=$`^1kExNev~KGiv4hOJskM>k7w>^)H%s$4ZwefYBNRVJ44V2 zz|tmkW_DOZ_!%)qbWQ8L*_xOJQ^9_ffCl^ULuYyl%^}u;7r4U@L!;E7_RZS8Yj?x!0R6liOxLUzAQ6LlDDVEKoA8lROi$l*%Q+3Kn zOKcblf2o*mYwK!TTQ?iLOVuW(bUaDlQ9kn?+mKdpu*6gA8J5 z0{U_>W7;=nS?!+&3r|)0oo`dR`u*`&ek85=f!#jSVH*M4IzRAJjMYAx6vb{EN8~z% zBkB2E5(;L*{THuJsvS}r2sjIN2Nj1{Pj0A4RO#x9+y8wQzogI}cz9{+9<;S;bcDSF z3$}cyKVVxA*{Mn&+By$5x(lO_zUP+UUlZDqN|8A^zA$<(Jvzg^g*(%Uu?szAg;Gcd z$f{5B%JyHs#d2)xvHB5e-fz57w5F()VF`{gy0eTu;SbsVeV*d$3L&XJ(&O!WU|{mE zGqXd4{{A8}&4e%C#L(~X0c!IZy0lSNk6t-#=8v@|0<_=eJfq6`ec3$Pnq6kQq^(`S zw#j}6GBe>6EnG|GrFD?E26NtC%8z1f$8W#N4R5=6@GG8&FUq<5mN57O^mH|3MZM$)`- z20`9ZIVU_r=E@3vZ0sGJ`~)H8LZB2*0As3k=LQ^89Hmm@T3a(GqURMa89krvZP656 zb0SaP3TUAR71XMra`co5$az(u*D8URWtclCK{=My5ta^%gnkHu{E>~oWrW{l5EGxl zn~p}{If-Li!CoA+II46Znvtucu~D1wBe8 zso3oT;t0JIvlMlo!_B}aM)OgGIX53sNH#3RkoJW}Y~JydW zln_vL+{QP#c7rzwF}GFWRoq=@3=NattvIk&$pg+Yqs41 zM_A-CFCQAjI=FS`7F`VNZ4bC!O~sd5!a3YVKnpe{(e}K;y=;Z8n%xdx+jOfuWe`O{ zmA!c;pH}_92ZuWJrot`4 zCkqSY+Z&82AfJBDe5gVLw`|J@zIC@H-pEBP7OBS~YKL6)j=bm>gNC@-7ZY$8t(?RJBQxveOba+>n5h>bQ0lX z#KKey)3?}sWYaZ^a9!g1b&y;YeqxS5>Il70X2s-E7K+BdibGmuAGn|2Q%_Ny)o95| zrg<-aJNd$l+93=;Hc9ww#pzX6-b)1|?i@Y>CU1Y7B5D=q3)n~*>{$39K8s-rqgf&Q@-+?EX3CCwP5#M#fus>f_lk6NVmE>(EW_7;stph(dGtbcKBr_C-HI z>eIsuBg$e>lpjEkyKnSlhIuu~wkq$*3daQ_3Vk)P1>-Ad^4oUJ*z8R<=l9Uuo+9VP zgQ=&Y7@MtTuEjctHWD$ti23{fHm<+MT8P$$Q<|g|f`9Mr_Uhm$3<#e$cY{=8jD-rGw*H7`T2c96o@ATF@K_@R2dceLv&k2i@$*sU&N-YXf5lG)&);@RsKf zxfCH-*8el3S~R7xKRjZ?vuW~4CxkAL98Qw~dx+9FTT|;9uh}jR+c`dk7=!5Em7Mi2 z^Ra1ro{5d4Q(MUQh`o>`#p($LDHqC877tz)SYG`BteIO9FJsdD58(g3slKnFlmvc^ z%QO`%Xt;WgA6(7LfC&ryI8L#q1uwx+ufYrjtR5rLe9R5V#qTLYBg$1SS`W%j5m>7z+-)!V{R32-l1f;+y6RnWHIVJgq<*p=Ge(?aD zQgiFx0cx@rQpY8*V5{#RiEl9#q0Mp3N=#NWyswb?7_1yu`F|(bkUN63V49V(@=$Zm z4#$9)Oe7zvCoTjhkOe6tw#$%kn)(dlDhd~+NMdzOEMVKx(c40XM^}JdmmUB! zfT~sC5(2t$k|BQIB;WFzhCkHeU|O?9FL_YJ{1dgE`_{cw&WUK1i3in?c@Y((a636h zcUixU2M93a(TJ)GB&3t{TJVtJ#R+rX^N|n;4v$TsScn~%MQezPBju)q-UZ@8SnwZG zPgNA*$c|Tz;481m(MNfD2-Xqj0-Fn8!LQ6`z2d_c4>E!J$U{ZbKM2m<;W;}ulv*>W zDngm@9NIeQ0c{P@yNCP>Jk%@iwk2i1GqBm=iLd2u_~myOz2Q1=9}_F@Cubbe5LJM) z6m30`A4?oNYe}&?gw&p7^T<+WZ}dG4@LS3{YHLefhPJLtTWjDEwY8zGX;=7==rfch zGt_>9UAjHLbKGWc`(dU;AM8<07|x9KU16cEXVu-=V=T%v{;#QW?}N1Ul*HT_+@Ac% zoXs*ZT-RY6Pai}lJiQ}d`QV7RO3xqGDIL^!2-@<)e5Iumo#`pmCunP%{UW{Z@3N7j zt*x8)(F@h>T1!!|hPJjfZO!UtAUld2uagW-{J@7fO8McQ?7d&S9xi^_YPCsLTiH}i z%!1uQ3)Tbn|HIqc0LOJ*cb@0HegGdKhBkXO_~f$QI030NjxqllSytv5Dn8x0(~R{T29iI=`F>hWa&gnDVf=w)|Xe#FfK+> z96L3cLK3e=>)K5*PR*23<3#)W-`5QS6oKZ}Z0!O1e&2J?J@@0>?-!oDi(&LVL;3U{ z(Af^|)5b^_sQZQN%QxmpamI@0&&=5Pk>loca(Lla7*1|Z;%TOr&%ouE2CcD^FXWyd z1FwsEddzZh9hk)HJWznHSBmYz&2Bh`(V1 zRRn5;8)zxiqzUH~yQ#UiK;gU$8-r&gLs*5b6Dj6Kij!=jk%(`VMJ1bp?f@BVvFHEZ`7o4YSq@$G7`iK#EA#}y=GNDD zJl5B9Z*TV5_5NBi^f|Kn>O5hu_aSATZqptnauZ@pQnO?GLtm?szd)F-&})y{W1APV zFx0xTdc7_8#27wG>(S2^)1A3>M_P}^-OV=Iv#s|z+qa36&L@+!@)y??cKZXCO&?1) zJ3{c0db^PlZr)zu$yCb6KD9(!T$(77uQ9xJ=d2GX$z!*NY zDakcYx8$ihYPPrE+YkM!O+E45Y}KapdYC`j`XP_B4%1_>>>eKIE#8dXqh(0zLH8{N z6BkPBLT8a;%|Na4%Wvp4cl3eO`(spUN$aJz7K6xNqCE%X?LQ>R@u?F%r zs?Onk-V|g*7@C8YZB?83-fjN1)+T!aR(c(hnt$?;%{Gb&^6tNShSq-yb{yj4L0!RL z+j2;2&)hn*fV9>bXy=GG_pLFvWq3N9x$QW3_VnBE;vwko=NR#9yaTxk_mQVVrP*W6 z$#+n8-^HT{ewe1OW}{z7kF-Ol94vFq8>W)tfW$lAoc~GPkbV8Rn8m>)5ur=fm8376H3` zA{}}oe++58$lQ7^OV93xL+3HZ;Hm!uNH;OcDGiqNRd|Mt`Txrx{JD z2}irY*66Q%(jf*%0s^mjIxpAeDH(S)(9SR}#?`CZcL8>U36aI88AR*2unW8tQi$DK zXj@=35D6WUsRTtCm62TJQ^e8%qXNk+gn9uf`L;@<10gB9NOz4g5U0u=uZ!uPqvqly zO(pA9()7Gxk^~CeW|OLD@;FB&8sKafpwNg6w-k%H5p^enEJ&AghI>HIq0c)E9chhm z4TOMInOK2zxXkA;uECWwQN|Wxu$Hd>FyyBPkIAn@d%M9!h9x)AH5Su&?^gtkFq%{s zhHM9v4kFN_QP)U7EBDuH{BeafrOB@RH_{aV@#N1 ziGgFl$~qA6^|elrM}!pL0Lpn+FP-BvSVfswC*Z~Op+3rbF|^20krBXwN4iQv3<0;8 zFdjIGnl4~bN*jaECKH8VQk>Mmu(&FQgma53$RhA&Mx^^$2K%kl#%dIi;?RgukM{aJ z9HN4M*A`##m%)=;$l8q+nsu@Z{|J1KGgTbNh`wBfnw)vkJ9_n&XxYd-6R=H>6|96BT=L=N!j^!_f16RYO{X zMsPd7PkuJ^HmR1Y=hjYh>j-4;iKQMdX?@z=a-}7ILid$_*wU#zl}XJ3R2t)!<#D>n zj3dsi&Cw>u{%%XUc<)3v@`w>&R_#B9(DIiRR(WS`!>?P`V*98#GVIt<3w6->z57Ek z_#13j9PP2xTQ^{Ic?QD#3&j210dGTZa<79(KF+OYdh!fuU96s4^L3o_NFB!fLlq{u zA12PT1-DLksF^}xZoMdJ?QTgzIlRPmU6{`uV|n^R4lvEFme%2G zEJq!CfMbEotyAXK_Sm5`EHbyY*(FmB1c7{w*{dvfzM{{R+%PbmpEG;B^@s*!5hhR< zkk)nCitNOIRl}Vy=m2TX^|gBe`Kd0xm7(qn8=wTcyKea05fZTFIIRtj6(+ez_A0NV~F}vc3K@$YWp5r<9;!VA0ixQ22r$a*&s}w z*HLLOX`S2jRm{Eo##5W3qxAW8)-Bt!iRy~vee51RMrYelS30!{mcY-HQ76NNIGb|h zX;9QfsTwtKUokkEJ;jSdF!M5>2XGV)C7gF!#}q8RhBT`h*yc*QLm6wp#O}-Yt{PFa z2;f9$7bqrU04KGB6GBO=?LLRT03z=&TufTaeJzCCG`x$cE9YUAcah22(|TBFVvIId zrn4%<+l(U7x9PExl{^BN1wD6>cqc9}H;i~my^s-(-1f-@C}ZCZlp!-tX9TMLK1f>$NaEcFS#P((!0% zuerJOa1#EA>-y)}M4a^?B%{sE-WI3N%@sCx?@+8F;kBzR2bW!S`&6Bnlk8hZafN`h z)S+w$#R6+iKV!iYDo;Pa%I~X4s2dsMXYWtAatpa9lhD6fTE}}nW+CFO-^Zche@s`% zO1Sx-N-eqjzNBwa(zRycPZ$p`+*8R+dzaqJG`J_gKY{;M?` z3a)DA-s%ZK-+l;*|32(z&(wVBA;P+Hb0A*~KhcZSd6V&RYg4fI-fg)9kKIMwPdtz? zCSb(9XBU<65TdA`raHTHp4_mNiuK;>{2;4Hp0B_c_Hh_h?=AcBXAvn+GdT7)c%h^h zK0&d+aebHxdrK-y=Z)0&lwX71YLEA-4m&Y#xcTq%f&LSlll!!{1*9(rX%Wt!F6p>j zsPkHn+2`pRAlR~VEGjHy**tV38=1K@djcOwnGWe2J{|I#2Ag*CqV=2|5WCmFVyy8N zGAHL+?99|fSK^5Ci%gB=o~plg^aHY#g{H;LjUi2^3D7cYn!})+3(`W_FZ#8gWMhbN zhaO?ZBK;lf#q>rzOF-rD5obFZQV^4q?G=8JpW`xsZ%i5J&^lz(#EeXZ1_q4uPYszA#K}OeBST~sXw8JwYRZaoB2c>`+^Vs#SXd}3_|qrQ zCr2#{cVW1j-^Q@$qW=`>Hbh1n_Hp^PnYD33hFE;bP-$_rz=t^GX|0Zxi})-kv$ZTu ze079Fb`8(jzAW`I^X<@`guRL3(FZ4=_vUdWxKlpU;)`-UK1t4Rr<@e=5};6q4#ttq z!BxT4GBT^Xh(#m*7kb*hF`-o`!~ zJY>sA>jgd;#zQ?{CgO}!bX9^o?7M|)$|9KO>q^tx#=_{>gJHv^$Vr7 zBj*vqFVTbU+Y~&~I-G9&VqyC~Vu`NWx}hFZ?|SVm6D^Hm2WXd*hJao)& zcZX(=Gf8W{9>H=e4Z&d%1>IoB&Y$SDk)>BtYiMpgHt1~p+(#_@-n{kiA5M<4Z=Kqn z{mj@8%^|I?4C(B!gQXNyLfhY39(*pHUTzVx&gaKHu`<#=dtbg&6|6-^@gn(7r_s~$)Na?_HvP`S!<~8yXLx; z7emG88_1c{Pt2T#rBn2pe67K?&I(5`Lz#z#y2?aWspeTPJMH_MEY^iMV z3UI+2_i}g}ID1GGG)0Y?MVl(mIyP-YV;9j~=eGiW#e`v~ZJE&AT9GA6yyJJbS4?Nv zTNp}KNT(l;43SS<7m&6AOjy5q(>5f540^<6nOslhY1iiMTqlU~(FM{uBimk~4p*WU zvyw$4-NH~qUJ6o`4y8`HSq%=R#gyvt-YDj9PH^c$MI4yxS8Yz3go+Hr|>tN$gWPAo!OkFZE3yO6H}Gf zx)2;*!ThHvnSX@wz~y|GQ{4e`>ta{J$|bksw@f@}&*nwaye-^2SaJpTb-=T2gtT5v zZ&J0;>iVBDMikD*UZnLtwkA5#y5N-AjLw9wtb}6>4_s@D2Uw}q7pZfduhLr#)5rM^ z$5&wZn=%~zy$55s(2)9QNOFyuW$g&zF6eR(y`t&ecaVb5PV8$3eo$bCRs*Vqpz=@46k4#o|CELi=$ zBe5kVs+L{AAAS8QyXGtZk%?NZ$A(z&&c^>Wd;cd7;bL)az07KU|Gq~!<8_$qcfp6Z zADHpadTp< z|Nefa5LeiB;c$beSt@p*-s@>?sXfb6b1ads0_N6r#{&{!Z`rKdSXPC2yRl`>&r#gJ zRAk{h|AVW&HtMq$E4a+l{au!1iqQM=Bx@Hu;poR$m{o({7=p9UPf#v{1UpBYdt{bx z*o`GK$r!KLJje1+y1l{N8bltRa3*}NI6JU{)*+uGep+W(#5Agrf|}}-N3l)cmU^0> z%Pe#ADW*h&=oNr}UBK0e9jZk9d2a745Zc8U;BuU8WBXqxa1yy`K2oUj=>jouhjc5c zVX2cfOv*whJx^dbOajfTFa&-r0y*>!u$beEEeCAkO0L9*<~C>1L(M~d`WN=jWJAy7Srs<9!wUp+{2HC$S!M|?ycwA|*UvIR;@M}h z)cd3pq^%dd@SM$wvBeTanbl4xzbf?5*j>EhN=+({2!*cY;#AWLcdEn@>|G@nHSrxv z>noUZ>XHvw)7-B(u4iBkM%9>Hmn1rK8o$TJ>2x6j$U=%Ml_+V7Kk_TVQ{8W6YH*0r z+UEueHGJQ5Gk#}No?!N8(;FUg4C$k^c4ZVkc?cc|wfow?Lb)us|F*vbj?h;SWc^TNL@&$K3U_j>nRd(F2fm=tLpT4{QS;w_NYZs|@NY<)Bh z)9avF>#VtT{k;j#xA4Z};+^JNpGcXnGvc}Nu*FMP@l5#CT4`P4a91RbGvR(X_mm$Y zD#&xU`01TzAEVn%@`?PFTb=oLq&j^GlTHrsjHI_@WhI=LYGlLR zNY)>t^E^4=HA+G3dtL2X+$oPo>A=rd#&uE87}DB?Z%<%cl-5ae9QK9ZWyF@UNsvGweis1^ z_)2&W33LOpR%T?fvjp4QiGn-wG!G09M@f->%-h*ZKp7_*ZLB5n40qxTIOZv1pk}lD z96uePR(1p-s#5%lsci_->7*Yb)Pl^S+3{=fvpP6Jkm(Yk$%?`xBc>A054&KtpvF&J zh+_g|s*VwNg%D|q!746lFs_*P(8=T05XcV!X3k-Vxo&40D?o43_E%aWO>C^A3wBP? z(i}(M<1pl%ECOk zf_mL7c!g-bm(fUHonmM4FQ@=%*l-<^;}&rnbNN7q&ukOi({mQBB=DX3vanlvPk9rL zH&NIj$+u9&r&3&CC&?02Z0Q0IQlAuzfwp1R>dZUs8hZp>Je2|H<%|bOFjRsb?1=o9 z`v&m+5ho`-5IQsVU?rrCD6e7VZJ9hm-yjsXlx#oA3cFQEmND4>Z=hQ7|213!voA5p z4kq6YAFFS><&{qIIodMYV#!G;~Hr3}V9S%Ve zy_6N85v&G>g^EQz!&Fx{TEWmRpCEcfwdY4eAt-~D^DaGlfuS2XMdc@)fuC(9kr}z^ z-VIPpkw6bYPGG^~66(0{X^dS1qt|m?z0T3-7-4`rKE~|D)7T}ENWjrDc_mF5SuzSB zI51>2VWPjX;7_y7Nc4WbGcrA8=JupC6cG(n$NJa>OH(gqOfpf3t{WX7zPq$$`9Ivwzl^p7p#6k!K@AIBjwcH9=DihH{Nc7>vF&V# zk(RqSom%#KKP~rM;v6#$JG0ZfL%NtbNFq?|!x@kudEWV$P_@KjKOHRB| z%ni*R&%WUHvWPNrPvITAw%mwbfD5(R;ih3XTN+7w)aBUg+;7#(gH>r#)AA zJNV;FF81`8@!kcvHtXVYNbo)LNRRsj%XRsc*KLfe<6Ci0=B>t~v=A1t&A$&MJIL(P zdlGAjAG1q&;$ED}vyq*X!>^1Fr0j0CTPY~spcklrHKg|9Abmlv_4wTbNBL|$mBp^E z4^MJzXTcJl%cQbX-pzxt67a}HC9V3gVw@aw1*PWg+0Xcy&_|`-@22(Mg>Me$dwOFP z3cY+GcPdP~MLN93s3-i!z?qB|)h`)q_|2^AGW|<4o9@eo>X&ED@YtMXY+VlXpfGPE zQwy3qK(}4IkAO>j^)^0c;boiU$4o8ub+Rk3fnV93iGIh=<1GDr4gnjE-slm>WG{?+FMen8eJ^^H{`m0OCjw~Arm|MRRnG9CrM8@o*b(*84Rvv-r?A*_#MJ}v^ysW~mvI_jlY>d)()`QW%!IGTAi7~*= zd{KD|mS4s#K#v>S{SlmSM01w+UNzwgF%yK(!b2H%h%Sq7M z#Z;iIQVte$hjc5I6akau>ZZ%^rAAhY#Q>FyL(tc>V#0btK2{@4WK4;jywWy+bil@6 zs^gO}OhcgaZ5W@>!OjKT#udVO2=!3^1%lYaLgGvQVcHN9$4N#O7h_%Cu@IAoSol35 zGhG_O91z~PaVEBt`2PwF6AoMcv zhI_*!yt~8o74qNY5+fq6KHT3>2NtEZ9*2r7iqg7OY@V)+C5~79L!uVCF(j@hd@UYe z=3&_@?UmMlfrF0{2Wh=CaTwAmJt*8lS`YR7m^CkD^=QzL*3EP;vBxW!_~~>dxQ7L( zuslK;LGgWUX-z4uNb9nUkk2bzDK*kz4AzgW9JY5B)0>EXw3 z=ZTULZh)|vM-A`Uzb&ytXY!E$=sxq^FjB0OcI}gvd7_RWt;hXnV);*Q4#m=VYOg%g zAS+AoPmZB6~eZa3Ng$$r`eRc^Sb^W22( zJzI6Lf;T0t?f0A|H&wjzW7ap>LA0(O@+o_IAhkbF7(VpPnEx2tFH1?iXnvDJJ!wl3 z^ZMq17`M!3)235f+Yo|#2x*;sTY(a!b!bhyv-S*!06b%kK-J|~@T7%bDAMGUbWqJ5 z^ODvXOj-j0hZZib2W2xBx2?wQ#u9RcPR;wWZ5yQ_7x)(?Vms72S~Cv4+c${*Mq znts_ql)x{CzIhukcdEf^0Ho%Liz#>;!&{*f@|WsxEZviA$v8bAY|B8LJ;e{2T-<## zKCdFITNrK7SdxHyS4dc(3lp!vCK9o93c3&~3+z>U8_H6ZmlqNz=4d3WHr56520e6H zd&R7~`2_|&0aV_Th)9a1e3-t4Fo%76o!TkHA%!4?86`6Ov0t%D|{o4vgY zX&oPjT6u0FpT5%kgjMRC%w_p(Upc@9#rohTVNgCa)(%gv%icUAfb5mb!WTv;4!)1Kd9}3G$>9#<@#YI% zUc#sKR_4|T0*vGMiKKOov@RYWF&7l)=&LY~gD+L2wIQuztLPxRxISc;2O4$nOYgE~ zeIZHhzi<)v<9{;Y>aVgwQH)v!+Z}P4i^WQ)oNo-UFAMXD{c-lQmb-J*k?p-{wtp;{ ztnY!OAMGvlKt||D>$+noqc=1<`SyGE(^5Z{hq*TvEzBNYm>JufWtWZY1aGcaF<)8g zuO!XcrM^J5k9D3iT4QYGJ{}~k=Qkz~U%#oyzo0tv^Sh>*Ticv}YzJ3rU>8@AeLh{z zt!oq9suL~>zI(2R2;-i#X-dHC|NgrHn^>+`uDSK%8)UY%$wp^~bLU>fn_cN1cBQBH zAK$ETfgsP{Xt65a>v(3Ao~yQ%b+)hMWBDhG0E(^k;jF>2ovgyhF+ww{-Z|#hOvA^@ zrds-~ry}>mZ%!nAukpRRa7SABk5#lTPfZ?CkMW>T_f3~woU#$tLv-H#VDU9c>y})G zm#_Ag9AnJMVYnOJwmfha$&Z~)93Sg(2_NfW+`41ht(seF2Gmk5ZSr&cqWBFmFaf#_ zttvAdSOZh0j>q%sn_J^?F-ypu)$JgQ&G^QyH&d{DoCH~>8KrzvE(_`)jw(CgqPl^S zhbQFg_(A*v3B;J$6T7VGu*gGcl{BjUDBO8aG96!e#@ZER%Bp3K6D~{P`pRUxe#f}_ z20)#-?HNUMTSvLY8mXQEqlfqs1^Ej2Jo;vl#%C{LonDDr$2U*PystRE&Cp8J{kM5E zZduc{GbKk$!J%c*Ae+R7Q;r)rlz2zEbn5&Z3E@s>NGFcJg@?>ZhXr|g`&co@(Nm^#pUHcoV!03mmLD7Ce&I;7$<1bmEih{)%?A zpoyT&e9awoLuk2Tk=6BaR>GmprBEVp33vRTkT-@5R)*W**GF#+wtSg;ItKp-iYHu+XpI?-PM4vIqUPEr z82#2N-m~1{Hd|uHyydNm{Sf^k-SNI9aN>GPKC8L4R>Jv)I4oE*w@$L*_DXmbKdq;g z@Hytz&qQf$$sJFysDrc~c@(6v`|uRU#Nm(HP-kx4bZZHEyKRB&{T9zz^}pL1%~MrN zAZPfSZ}GLcWqLQC`(DTr7I~O6 z+<39f7hL8(&EbW|u^r)@PYpEP;Lx8Z&XE^C@=q<99_}4X|Lf0XGuOOno~QP&0^{$CdEqO86hYOZC>R+;|pgc8Qw?%&qm^p}aGTF(9NUy=CcD+fhev?<8$_BENYEcT;X??<%7@qP^WGu zPft13U8cQspMOfze)Cpr@32#R01shc_H;c}lcX3f1$Jhy>iJAhSf68O&sn$X<7vzU%p)UFLIGV!sL@i+ zfMOi)7{;S(nQ3iTL3d|j_9VXvex6_1EoL9&oF{b;O5>|f_|PwvTbUtFvPsKA6=g$M zGHR{&O4+F_8KpGn#1enKqPa}+REDVH-!YZ;i>txLJU%hzjW!w@NxNw1LU4C6$H5y< z!wDgTE;27!0d`y*7B?3WpwtPGR_I0zM7eqA$yu*_l}9m1T#gCW(E`6qg1kYnvT)2t z=`2J6cF*Ini^K#-4QWblBWU93EL(Dl#^Xd*NB1!*h6#TCspo+qYJP)a+MEUF`4Fx` z3v~F|$$;LgB3H@AL=(Q%d%Db3%6ZrE$Xj2<_d$M+A5y%-0@*f(fiWR28P+Zq7D<(B zkLDc83_s7$T2AVQ;P4G05{k+5JCEE&8?G{>B&&KEeA~4Q_cFEv{8~tBR>Iv~%~D#| z8HQYowBCiZ)=U*&PshnhM-!vc<3GAd+Zbk-e>?_=wIz7rLJq!%Dam}|HAbpm6`h3c z9Pf$N+P3vHzolR$>IxsYG)#eQN>k&&r?JqBwB~WVe@Ca$mewnu)@$!uXCx6ngo}uy zZfI`(_#p!2RCHu0R zo-62G?7A8auM*?o92itA?8oMBo9N)Y797@v)(vL!_h(i;lQ?U)$jIV(ZHMW{| zW?2P$vn+?4W5KM1Q$FWEtp}G%$nA|_d-=NUP3em%0^@i#<##*P^aM4pA8c86^I+O@ zwu%+-{01`=r5mY6-xy}_Zoc=bC@0i$ScAO{z^lfP2E|^kd6^uBTJXbR*btCAY5072 zoYVI7+$gfE3k8P+QkB?$4% z<(TXIkVfZ=r&CNy<^0~Ea_9L4ehNP-9UL`0g2$XWxKYR8IMGx^zf`C(y9|~aV>`?6<{i&n^9@B~q7{Q>{(;K7kUDtaTFE~BPcrbxm&EnLT}M1G1RPsf05C5e7P z?n#!0QJN{cC1eYsT?H``>qPa~iZo0*UYSfAbYmp@ut!?s-hnvkQm(i1rBX#MH$JL| zEUtv(ls-khcG0KRi6z|=c7+S8JuYHSBA42s;|Dv-^&j}DIvv}4Ct9mxSXYmDg2hp6 zJ5X^XBj7Vk%bpEnd#d01HMf?uc8Iu? z3d2fx=w)s#*%w->pmnV?iK-Dx56q#f25bxj2>*iT31QZw;|lsHyKhMAP?u$L(Rzb5 zcFHVN`S)0jmSrP=kuE&f>j&rmv(3A6)sXz%JNt;r@OgO?T!RIoxOoO7ZE4+^Tbp?t z_mIg~N37m_Lm`fvRreN&A+2fUbA#JmQ@i(5JU4M86feflGK7(vTA9vMu*ofz0;AiX=Q*4UoPuOl0+)zX^_C;dR<)7(DqUEHAKNrt;L> z+RrXI?OT(TojLo~6E=PPI{8uC(B2s4V2sc&^`M}Ti!tQ6>0?%7AJC;UQIvri(in>&)eWP3siE(V~;BK6S%1^ytW zF`_%1RRH2lgh-yG^{j|1ee&L_!L7`3m$bg1KbPZ0APdnEm6dQx-Z=!A3NzQfwR-Jxe9?+| zA#52wMk2={y-`NxE#>HUb6cDOc;%0%Axs+Pc2qB9^^_yOF7@s-A@J-9{;HVUPEaS* z^yiD<62gbXaV8CM=3&$_+`GZ5AX$H?Qkejvn&v~3=o$1my4{4ib)ILzd!%)$P{0f; z{Aex5glcM_MP{y zat=ltlw4c`i`eF&vme&hFbh>k__Z)}0|ksl<4Q)Wz)V4h9~gqzs>8q!9|#VTXHIDKXIz}>L| ztt9gw!ud^jq_t;I!ku52yXUKTq}fodGfF>~2a($ayv>YPA_0!Bivs@sAcGAYaGV2E zp+m8xwX(7zt;-scvTxPTX(dVP&c5~OIIEzVXk({iM>(9iK^7Zp8j9YT;AxW&BH;)?5hJzx#&Y z`O4mJF;-ZC`VUuY$*)w?d&F%2-m3lRUJ6Q*m0qWDvTE)R`**ZF_A!kw9EPsoPA85x z_OlVnv*^sN{bMYAhZ_4Qv%?9}8o!YvS@_yGc|cl|JOxdE_eRa<9L^q2U+lF+H?k)* z{6t#U=@XIGPq1&z+7zgebO|Z z#-f11u{X`rt^cU3B=q0OXRpoN%&szQq1s6ab^(w7`x}W>TQ3s&QS zjHkmdggC@*p9ybZD*@V?GOf^l5X+2Q5>CLO)%XQ|l#KVP9Zij#eGe`o4u=cir60NN zFT%|`?_A~FYd(v}BIED~j5*^1OwWY(IOg)8vJ2;2>|SMFA4a2>rz9;MLb(z`4#-W} z5JJ)B9Qq8Fpoxv=cbH!UQLdnodMZHh(OJr)!6~qCsA7s074d+H>40WPf9bJkh_M#_ z;KT3x{KEA+Ry)G~xF)RFaSv1bjep@7&==K-kcLpuQYMwqA{hxS4BddI1s4d@(p<{Y zkU&3scs8ndLIizY6bEHaj9KQV%tk9)UakLa#J8v=T6&)W=B4vfmIOX{|$5?GLciRp_}fcl+!^lngx>N0=o zT4rS-D)p12Lu7BL;yn~=8ACY=!6B_XB$1P8k_}cTF(-jS;wiqhiJdeL)&nQWdwRKz zGpV7gLBMq$p9sQ{suHXPfA!1n{k3-5BYpImxz^D=?cM?6rYJxmXRmZhK++7ryobA714~94O$55aQ1bc@2AR z&eAa`t_AkW@ECfdq&~2mJsKIaD*8Ic{{{DBu?7d7=ef?#l{d`%^W!w_i%IJhMDdi_ z@x*Ix9Z#8QR%svWWzbYjb;?ZA+H2qX72*^}KfO86mCtV;vcZ==p*Im$P_+#|eaGE5 zefU!^uaaAC=_6P3NvGl9#uY}7ZTw#lHj7z@GVw6Zgi}iVSkCqzLhlL-%q4j$I?uql zaP-g*NLo{I<1zsWtS7BYyhv+1J92%{M;n=a7X@qO!AAClTEYQ%zR)abjqG#rfi-(> zq!H$f!vFDJ4&XdSt9cvjKKak?`{0MRtmcM$Gf5tLa$th~hPYp+EZ_G@VnKrvD6_%M~mBfw87eyHBPZplU@WtDL|ijRddd{lk)8NSU*l~XfBT9f!txg3r)?>R9+yV{=wc}9_2nxb_B zzoULpcx4+={e@;^wUdE8)WBI-Q2YWC)VjH-sNT ze?%70;23C+E8sCXN9B(&Pd$p9E3F+h|M36%j;X_c`6C@W`j{;Tv~*T(H%g(Ho@bb= z{^mNYb)CTde+y0#l9gCf&_!-b7(??i%4G$0t`1cIo8qsIzDG`z{32W%8PjfTxOjg3 z4n@%F1nu|HzDoI-2k)G}=5w1?l7e&ihIaZ{F59(YvV#VGSt?WeML_Ehh#8UE4PG+m zQwEbZ&h=`fb;dHB_{f||oPa*>(Nl26(NMs09(FnW1EJ<{8}^pA{JGzL^~H(p|FF`TIfw{icprutm=StdZ5|?pW{g#eGuJ?} zj^ZGCy25={XKaDgRFj$E7nD<#Kpj3%agka9isgEOUkoCuO9;}&ydga?=*KLQY2_3q z^6v34c103LHs!4`4rUNTepy>&TLsITZu*;pH~wtI6`eoPUQZVCK3CSaATh6luYlLG z#~wUp;ARkMV{+aQ5Pgu7dU6NOoTv9(-CT)WyzmxljR$Yj9bXaV=zTE&ceX_bmV1iYv|S z8Z0fXq4!c-ZnD$VNS@#5r(mK-w#ha@S&gA8EKoP!1s;Tcz3bl8hgW+LE;R}}a&HSu zhR|0D6$E&h6)=~tew^!q^}omaXYKR<(?9#(>Sq1lgYDvRi;Fv7x~o&gyKp3}$x2H& zRXRszW2&;8uNpZ`DXe6wEhWdv`bV~Ymnz_DOe^OTX80LD%loxpF? zr{ofY5i}fH?cWo<4Dv6;(yl{J0hA&WB3>?}sb!Gm$V`aK4Iu6is@%B-emegeu-KHx zg*GqqYFOT6zxt&&f6kH>N93E6MO|x7Qa(*GM*86La>j$6T>V9T9%|B<|LDH1;*Xk_ zCk=%@r=MnlwTxQBIq>7=N+4O1Ovpp-EonNk3U6`J@(89epRfw(DD<4WCyFG#2Q&z@ z(NWn6Ef?%Wtja%evRnY!TR->AnPgS7RwBM2%ZV{s5_Mv!_-lb5+lqSlb~*|JI*qa% z(7FKJaq6sF3fJpL$p{EJ+Y2(s-#kgWd4{{yscAlOg8=j7z$vuC0eV)e9s=B29-`nW zoB?=0OW%sHsf$PKVk<0iViEpq#xk;u^F`oi&3^N{Z(Z1|3j%wF@?x`;`lt^>?NkwX;d6fi8&` zf||@{$lD^kU>^z6wlu_1SJ)Mt16V<}z9F#(5%`QgI2P=jeXl|!RwI>_T$86Jw8kq- zS`cgR$GSGU@>L1GMgNq0nNny!QCRpRe4>zoKSk7?dW(Z&JK=J%O&_mqKAeQGeW#6{ z8Cm^QRvRVF+WzpZ)y+EC(L?r<|1`A*a%L8(iLEV_BI_-=U;lKH-NzG!+y!N@)Vd-} zjJ8XLKMs}^ak#IXy;^C)uF>Sy+8TeRFtc4#t!km4_byncI7P7bA z`nAl~H0$Z!ZGoxKX1E5-KAyVqy_0b;72v?qNVRC4sqr2(dj{@IvN%d5=mrxu`A)}n z#Y6~84^x5l+4^(D;|WDu*yEXA^)SBPKW~p78qIkAzuKBzQspYae~vT$pB$it6 zcbM`Xy0Y5iE?3`uG})EpeTzGt3pZ*o3-Z3tCfN*z;)TNv%cs*uT-_^CwN;w+@>^?~ zbv=9T%EV6VZ786J3qeJFx4j_C9Z0UzOW=NaikhVM#ZG6I$!kLZRcnCiy}PfXBq&5?Ab z17gmXY`ic_;0u9f{niI;O|u4P6loSK2mfZfTP zk^&?GpNb|FnkBGzmA(oB0kXv!?wgbPoYosA5$)|jl8QvfmOIY$2$!!h!mT7uiCh3Q>z_;3 zHfx2-=%8B?95K$24K`lEa(q6defAm8+EidOL5I1g;+!7y#t_n2Nqa0PoDh%mgA>UD z1SX@lf7~Z-61gxiJ;MMbAgy5sQ09tBsdD5szDxN$hS@xem7~4kl)`|*V%`W6u+^O6 zdL*GD#oC0n3TW1?war>IRqx@&G%;+khk6xAdq&Y|)*g*XatRJi?p@#^CA=s?+}e=z z*|bFGW<;{)TL(xhVZKG5631ZV9iP^Z@?j=k_T79mDO!FL$ZlrZ!{=z95W@PVH2Jui zeawBfC~1f@UBrw!p8Ksu^;ipP)@)LpAB2mxr@8med#4jI=vYE)tb`LZAKFbiN-uLF zY-wkSg9sSjJ8fG(spj}+5AR!HC?(i*S85B0lKT2*3A=SvL3 zIu8o_%Wn0PG-5v7J2-kDx51$^FxHJhV|{Oq>~w~-emkgHzqz(qa|_i?)<4Y*5hJN>mPnZL)9)o6ZLl-DCSFP{qHR;-b|3zSEK=HZSFlp z*Rf!vbxQ*et?=sAj}8<(|L+Kq@g^3Sjxf^RbF1C5_ZOWt36pF=_I;zUTQTc2m=90h zRd#jDN9NgA+Gi8^tOc6&Tk!t;+!`{D{~#|;XyFnR>9?tc5AGdZ4AA9+`}OA_=cO}? zUJI%LX&v9%TZ{8;wlHXad9zIwzeT#E(>=5fkSj*k$5N)s%N{z+^WW2{lX)%B zti#%79g@>my2a*jPd$dbsj`qUKqSxcRiLbSuy}xxBjqPRS~EJj1bDg_NW=Fd{W;&A zgiXY!re`OTA@=dwfl7yqsp|aP zJQ(;t52b}V9xG}<52D)X2eGRloHt_(B7~p!X!@<7^?0cJaiXdf6kE3tIsnZAZ&Yo39eEm!OwaFd)&&pcWDU2gc;O8D#!|RL1D1<))iFgZVbdDM)DLAemH9fy@m^)ValR?^ z@F@Kj&Av;JM>h}^Ylp3(qzOq4rRL#Eoimou*%FPtS!Q(tl$k?X4^Hy^_*U`^lLMzA z>jUQW{C}axEb60!d|FSTBZN42#Tb}|2kSjjI(Jhud(-k=XA?)?&4?Ijoi!`quyjhz z?X{};#+IZB(@UsaO13Gg%)$qW@skj&zNf`lD=rfttvPH$0x#p+nOoD%mDo|k-)5E~ z;`opZY`DF`D$cgT)t^m^(cp5VHJ?h)JPwY?ozn#QeBDy; zi-1pj>LJI9*BOK-q!6d&M9oiDo!!2h;nXg$A7*q_+({7~Of7lou|SLnL1ValSC3hJ zCyeG>-kIE&_p2D{tUh{%rmR4=p{I|}*3Za-U^f-qc-v<~Eq(4v=bHx?%0SD|<9A=) z!shy1NZCr|L%7(&CflFfLc-m_Q%+{jhTnGd(t)CfljYocf}Q1CZ6@3Zd8ZO~q%RMF zMoS&87zqm;IW1^xJ&R-vaqX3n5<(+yBBv&qu6Gn4hFIeq}k)ELUX@$2Mg3j>+i2^Y&WL49yIdPzw_9 z1%godg)H)wke2|e6IIHi)d}kgZUF|_lAK%S=`=k?BrDDl|Kfkp#ER1#+x!Svt9gDdAj2oosIhPe`6HdP0C( z$M$Z1%&k+T^%vu<+%-s+>EJEI{D%j67;C)WCYpSc$HZuuFh2VYRQeR8H6QDt@!*k@ zRMkGUWabDpx4k#_D}xFgHiX)LvQ%^HSTKXjNy^-s?=&h-C1EAJ;Is9RqY3gjhm^WQ z2uc30=n%ho9L{|p?H_ov2#waKby~=rLt6K6D_2>`JY{u=vd)S(eJE*1ZNcbY?+f;= zgBE5~aR@Oy@{Tg$YM*6K^N#ccR!M8y@nkX;pnl zAngLQguM2Uy@XJ9F{mH2eSUWmSG7FCwmHm@=T19Myk;l6(m-!l7rPDRn*C4fkm&y__hgmya0lf`PboFH`pwjUx zHd4`Di#iVJN@{AaNmFEm&|#M&35QDwkjd8qV*TkjZLELC;ot5D=9GSZBe_oKJLuYM zl9bT2z7RW{Xx>=SCi{%dm*vDtc+pa+fwNgwKZien;*>A^qJ0Ia!?cp&=!&#nELQTE zD}dUES>UIkgpI1N15%Q?G~h;D@SUJMW|4gci>h31ccRwPtgL^%{=69K1iw(%0Ga!3 zqQI^33)q;;hRGU>-|hMwYcf@JeRc*q;9;N(XzN4 zdFOEL1}n4X#+s0^0=jrl<`^F3Ybm5b-RlB@Oml82;*EuYy#y-LKpkU~Upynv{v^$5 zH3Tdy1D;5aQT8lB$GGX2th)Owl|9ItB0jp=rtF8y+K@$QC}+RPX3-omy8;((dh?#M z;$5dsHOsm6tJI(YJUr66Wy|cCx)=R;=!P*e{HZLa9Ro+y_HMN8HZ#;;34K1{;pTJJ zOlR82@}&&PTmj?!9rCd&4lo5(gR^8N>`*?KmmF{n7r4&Are&o|yZ#d3i6ts_+L zQC#P>Xbu*qy3q~lm;a12Jrzx@bWVlSVi-|?A@tlD_*?qT(49THnCy!WyLHWA`(mf*d^)l}!-C zf4M(N_WVY?c_9yf@}At)IY&MwK^0E!wlQV4exyH7zW5%?0<7tgoEOBo@fgyYeQTF5?0MKv z-yh0bxr-xNXeqbzKe&Q*zBS@hWIlhoUKPV^^BGHb`OCO4Fd6WGg+1&Sek25pKIP-J z>Vqr^hrMHCJ8jB+L(rMVFJG2C+vKegG8|%Hzk75R8fE>1CbOlUmqS)73TovC@}%p4 zZ^jZf4!>_GqUtCCLGOF`Q14N0F1g12G;74Roj&M%Os7uOx&WMV9^@ElL36F#m*(6a zhd6aT6LEB=Q0F0X=DhV{rh3NPD1-}{eA@|_!@MxKKicKS9A6^0Rj$M0us%4aSh0ZD z1QHtaVunnfrJ7GKhcy7Z$98%_zGR{b{M7$z&D#e4t-6)s$Tb4wB^84a#(BLLgYFV}lSHTZ(BK zoCHS9mSz^5a!~Dt(t0xQE6yA}R|#Y`LQpodkio(QU1n6*AZf1u9F1? zyf`pMfkmp}iv&oMaa7@eJ~u_-rw6c{rCieYA$J@efgf?&P(xObNXg|*_O07BsDtfdI_W2Gf(xO1e(=$(Na&F8 z@IpKtqf=(dV{GeEKUXc@UJM^@Gjz2*Pt9|)k@m=eV6)|FJ1BE&q_w$Nt$H|I@LGI5 zIX3H+N*+I$01M*1mWAss&yRlS@?_8C@(w@H41bgjXe+g^fMEV{tl+Y84bj9&BLN>@hizLEvp-DYR3gtzLwFF&R(+#Y@&MQqs+nsab+q3<9v zDu#8MIL{-kUH^%ytB?O^u^VYUHN+8sCXaUwbN@ULB!F~<-hj#D;9L0pI%wP&HfB@` zG^TIr1xy0DC}LsFXhMN;8-q7p{L2bNW`xkzqn1*>doVe(5YRot;MhN5-Uu>L(o2`N z*r6>Gj+iM)>mYMm^6;<`c1}LU`I$UoZqYhZycj+>a25ka<`e=tBmrXQz(^hwB2|(m z!g)+>hl^=mXx(ZbTq1>*7L!iqHh7k@;+K*-4(sSh<$fruN6}1}&Bi*v5`n&sw6-ZF zo8g;^T;-ty^o0%OL?uz@r`Jzq*04ssP6SVzi@DAMA;iLr0!rb>N{}+(#Z|Hb2%TPB?jF)q%;V54wv)+1VZrvn6BJ^oLJA08SwD7tFhP>9o)@$!9zTJD_ zz}0VERY4RX8%ts)T}rp@u^aZXLY)_HxLg$6xSUxxBV#f#*4A0|#yB_@>g>$LK_wyZ z@u?T-NV^idjINZ{VUVNWuhoYcc)bXD%hkps2^iSi)V}pU@yM7GkcL8aD!SZHjdyL2 z743g}*E1h`{oCOJ@U^!2Np z7L=ZZG8Lf6pg3r59jMULHZ>N%rnq;%L*(M~^~^mqwJPp9#>|k`Hu)MGlwZ9vaaSs8 zi=shVhj_|N^!4|LtSzmB4gWoLTmTQQd$PY}mE7~Ob8mN?sQT=S)UR#w&0XH$sp4%P zW<+;?*6%AgwN4(&gQi~fBiC4ZuJ_F$rUxd_2GEyqjvHTto%yg zz+BVnf6F3>t{^`zWK-YrB&d37NNXBsxn!}aDX`tf@M>yQmK7PRm$9l2@y1dn31?{sMv96mprf@ z9Jb3s6$*BB@4{&v{lP*V%BWK=BJikA)Q}Aq&^tg)!YFDxz~w2r{|6QLTF>1`Wjayr z!wBzpNH4?J@C4sON`WwFW7(bNEuX=%77l-T;hInV-F0gmed4tC>^qLSUc7)s0*F^G z0+Kd8(;Je&7_LmP6Y}DEu-*z7dEi&X06_~MSu)4LTaDUAYq(8Vke7E-E%nVH9SADa z5}aynGMzK;R;~w0oJ!#)O5Y;p8h&Y|A_ATKT9&rmf9m(YcGG97cg6m&?WA-vI)&zn zT@qUT1jtCN2jpc@csX@1>Qod)GP6L8Mv`&U zW)4vmMqueDC9Nw&-OfxAX&qObdtQXrv#LK@5joD0qBf2&kEwsz;@1$uA5pg-*<$Gn z$Jjl`>7se1RYE$_y{75L)c6*+YE$w89uwphRrxT z1n>7(_r2HM`qQmUW={93zo$-}Q~yp?-MV$-2g%t9--Iqpvx@VIL}mWdHP;M&_NU*~ z>q5$Aw14Pvl(OsL`K{D+1zCHT747jnX)J!Ku<~+mzK6DoBcEsC;fLxV(}x=f$z311 zmt%q{?R0wdZ5xK{#PIw;`;d?H(nH*Ms#=deT)?!L{4zft@^H-|4gxe{cz#yOpt!O? zY%97kZj25gEr(#QzxU~9{`l|IGCJT%6{jrBhZ0z) zQ(Hb<+;IVCU^<|U7!`8c6F~8ctHdiOQ3u+A_zi`VJEivXZrLc{tkCY0NUjrCLsU&O zN>L}=rac8IJs?qVSJ|r8JD}^Td_Cj)HBN;*qPKTwX(dUNU{a;$wQfac&38!Xj*99a zMCbgSaC`84?PGWU@q_P1vhCEVspiHYof}+Y zDk;mnuVfd^MnC~d(`e?&GcfiOaP0SJS;DQ)VRYb10v5Gt!sqDdsLiD0^MiJgjVXT7 zN?m4A#E6iT7gC%VXIUJG6lM(R66)ICUUk{~Uvtr^Ubk*h38Fc`fPkCJEI`#|8_z7Zq)@DL89a!={k_0Y$>MyUU2GF z;j4Qte13Vi{%@a^s`g(FY6^~DuQV8O)zW*-wAVqJpal&|T4K5$KDZsoPF?*=e|@FB zs_S2}Q&PkY9|cX4B|}#!_n?H}7e=rNmv>bt#jimw4mWZK83HFm{0W?l9G-?X?kEw;6Jbn8G?8vQy80 z{1vvcTk~nXSshwhf>-GBQm2mO6p=q$ER445Ax}O4j$6Vzr)%2X>3PbJre*9}))pC5d-nKt*=x#fZqO@DJ^Et}cMyPt2{ znVRboS#HyOyBfiYGdys@M&6CujbB^&IXx+`AxXB>a{EreCynR>Xd z=#lwwLg*}e1+U<^!J)z8VEN#z*QfPfL)EtSF#b{t$(ENTO44%NJ?!j@6B&08SG+AN zz=|f_+J3-GxXU7j?MCkT?;J4}mZw#Qh@M$#4~!d^TQk6Zo4WsGlB8oXY7HYa#uK}3 z9iMBcjd0h8ONWLoaa>WDKisF~=DnMIT0S^3mOQX-vs%CLMeHt1;v8|>u@v?zQcxL z1q>~rB`bwMwn4%Tg?77I*l01LD+gVwmk(D5HO;1_Y}-3;S(u1&CfxPG{BaOE#R!qg zX{dxyTSaW_TBtO7(8iV4L2_*M-;Re^zMLCm>%HKB#Kn;XB3c2%5p0nV_L-n={r#2Q z8l(+*W&<|}TPYEE+8)NCCYK@XZS-!nHbwe?u{u=MD@J@5amRytTr#K%?!(cv=J*>K z-q|0Rizt*rx)0`~r~Xj1NWK;Qd60=hbC>zqeQd0-NwG{@SNKh7LxJ`q#bU=B!zx4F zn$X@P+$p#p_9|^1r2H*Gp9}gzys*QDpDkL4!;3g{AZ3%jf=R#>O;Yqpkg)HW3B>2GQ2W{6g9~-tplacDh_!fBI$% z!_ToXdeME(?c~AVrY};~8!yZEF(YBl!iV6Qx!qIG6KLvCuVphhk^={;qw8mk;Of@A z;<~(BPb$|B@GdaLR+VwGeMjagg}|C;;gJz*@47rOe{bsQKXjwG|T`&AQ6 zO&C27&Zt`HL~%b;DO(bwo(-7(E1kj z#f;F7<`47@@*aFJz68maL=p!x=L4@y`uc~U+`cA7TaR$rM{Ts$9=45r+Zi_QeVOMh zn+yF9tc$F_muFXdmy^}gr3L{S;$}6Zys3)iB$ib}m;4@g)YdAo!0#NOZcS9LTjP%9 zqi#V4OTa9@Xn5aL2+M}#NlGJVAW{bB10JLz5nX{7@vcYZ=A{YLxE8C`Xf+PuuN=fE zGLENSjwEB`5_E{*owm;QYdzLU8q3H8{yi+ zRji1RYh8N2;9I>1HN1SKS`f;nOwT67Ls`4pK~ zVm4VrM@%P~8ji!XMt%ZaPa;sZN27}k@I^VsbzC4=Ta>s9htZZ?xJAewRhgmgpm>;X6$N;CLpA>KVh?rovWmfT$XDyiF*vX*81e{22Xd&55DykZSDyd@k zD+`YOga7Md7DqrIY-Re5dO6vus2Yc4A?1a>sB9Y zYkgkGhijP+eU-P`BucnJ)rAPHI0#pCrLFnG4a;$UCof0fuThavTkke$>y)qf44vEy zQ#6S5E~u&j4qSdf`$6Xeh|u2mqgFq-(PkY9QWe^+%gs}==9|toU5KE#?H_r;WY<{S zewX*$;E4()C#oL*v?V;v^WA$iTN9F+J0oo228Xuhz}+)w2`8z)qI^5>>6^W@hds=V zjrN)0%(mV^Q$SmPV9usLKq)LdlaEf_Qy*8m^M+!F0JCV`R*v7IT}G-y@ifbW(fTV4 z{?VXTco0$wPGe`tEWMxeO(S~<)gAu!zufGPDsokzpXOuVsU^1e#Xf55sRN9F+jdL_ z&TM%OUWLH1;AZpBphC9H_n^*ab9(S$%~XN#3U(XW9N~Z!!@@mc&V|;VlCSs>+t_4> zu$=3BXtu4Bx$%yQ1Zyqu_A$Q_Pjac@J*)?Fi^Rp@k0(huSfaM2{& z5TdJNB(Cc#9N7;};4OnSr;?D);@;pfpqFgJwi(*X^li`(tNJN+O>p2yMa0P zXta3KERXZP)}&U=YeIh$o`KPV9rGy>>_N(w<5KA9SioAojVz$C^x(zzM5MKZ=%S{XxtP6F0z8Nf=>N z=BhxFJ&4SE>eGINq=7FX(wK&CElF0;USx|mhZIQIfr+jIZEbxm8dZ40%AC_V8W1jL zYDF|@4Iz(!b4nJhu08y6OTVMt8LxEmjAuUuE=7gt<@N+;lvNu z=j=D@Nf^E=&1MLklD4jA8zvv%bG2w|n|^I)-qu35^w+%0x(cimk+mQ^eNiC&U~SE(m|1PCF9c88TV+W$uL}Y2GbQTVF5!Fg%?oIAHqPo7zSjx=q= zP8JhyQ*>+A);9E9=g{4`2@<3Fv{xoc{}5fceT|!+-DeM7I`lq!pZFszG#9z&bmsa# zT?Z0L_0M$ASS_RcNgf&#&G~l%r}?!u?s{B>6NSYN;~1V_1xW1FN<+tgZi}w$tu-Q= zDyJps$4H$8Kd5-x<0$cB(2MNqP$nhMzk`S7Ly|G}mtGPN4Kg)%2ga>x71$9Jy37%1 za@b4wya88Hj?1q(8ZRPIX{EaNkR&cb*# zs_99I3Qtbek_eStJflZ7$zY_MQ6R*fP6%uW@^wM@BriO6m$@`lf%8Pj8L0;DmFpsa z4>f4T?hrA~&k$n{R4G8*YoV0EaJaI0gbRB<>u*Ue0o#NcUivij$bHjF13@UDE-$KUwEGRMB zn%y=Wi-{9g%69VuvBG&}Z zhaF(<)d~6zQ9X%STlZiL*4+-ge;ID&829ZPH+0t4SrGO7=NPwytZ%XMEqZ(MaNX$y zswZsn;lv7QYrSw&e(r)i-xX0QB|Zq9&OX2FT&yC_1%U|F>2T9H0IxYRS6do)UglG7 z^a#CV@&cz$XQET`|(#KA)b^)TMzTxda2Y39*o|v^{mZ(%tJ2n0 zE7#W{;!8vjQ7_G#zXQg`opEH;iDGH%F|_r4S<{j#T{R- zn-V(hm+G1R@Dyd5^OX={)t)>*irpxlH9#ARZ+(JM>k}{5LwXmVTc_LJlEn9s0;z-6 z{>>+nH0-YX{LOcyHojb2mu$VD;&R?ob?VYrhU@*9-IFDB>$J6J^wV~%ev7nqy0~5J zErT?0L$}yn6{ZnK3A4K|92ym7kBZx%mEDxWRt$;=z6SI`Bye;;8M-#%yLsWJux|=a zE%n`mm%wr1KVgmJ04uOIl7)@q-AHWZp&AUah-rsDDo$Y#97Dr^W#exm;6|rlfV({O zVnI;C^9H?0StZDja-8b6dGBu)3u_B{S}rYgXcAz=_aanhW-gl4Vm7)SQKSL`EqFt_ z3a?DHStnkofk5tyjQYHZP(`{9CUNFHKU2wwkf(bvx7QaI&J%c8m{GTJJ4TH-CUiH? z($U$>Ln(IhG<)*AD607G%WOQq3`gMS#2dd<_N>@M6m}kiKSUXqLaAC5E@iF`?)j(Ax*rYr3nHp=z+M|7Kd_u7 z)0qS{XBl_7xTNBWfXDa+Dxa5JI^epFYT~)%>$nEtXJCYBA}of$d7)g4k1%%lHV%O% z16r(asJ!Q4icv2E;?&I6M1s=`_eLLNl=IJ>DzfyafgsO)my zZFUk~7+uI%M!ZJx?NX=Q?Bu$KsW3hHj*yOP43{^ zyhrzFwx?$A_hafWsamOqMQBzZU6FlmfKBhN&n$iQ4pe{5r@qqGWtHUOSDW3IWc@tl z3}G*9-oCN@cx#yc7=e9qq>Y=gAn?HiT5)+nm_%DUgpbdy(bluYbB=2=sSvMZpz=gB zbs@Qu?AHQNf^Z}{+B(j%m91}mjkO87 zv=fN*wDspN;eOpeXYZ9k-nfF??s?Np$hte>9&}AjmTPOh)HDte7l>TAyx&V(XZ2SW zX?y#SZB>4sQ!;23t><5}CWGK=K1ews)10bvl3$`0J-n4EV(u4+kQ$FAI!9%X<6Z-t zwf5aay1hSUeNQ!ub*!y*%4|>rQ0rk5KbHMT+HAg*+5Q`LTKKIuLj7S~Ar;7roJ-}e z1@kFuW0L4o96GyaAi0I6)7s-E(AMkH2D=C4_E-{e=#%i41Rm3h-BrOc?}tBCqW8i? zt_6O<9G|e{sR|KpAK{K);AfePRe|J|a3sKeEnbjK2Tbcwd9eMCcxQCf0w(I<2 z&3ZhN!10R@m??%sJ@yG)9b%K_m9!u<6c}p;;QEK+TdMJVyh@@|#)?`4B2QV!yd3un z8RqmHFTPYA(HTa7I>|#H#kR~Pmoqs#?mH2V3eH8n+zs+ zy*aq8A}W)8n3R}@ZHy@9n8EWl$~vz|A6N;E9j@wtSRa|LlAXhBz41T1vMKGhi*dapxN!7I$SJn_)qZ@KPIPS@vwQ6*_vOJ%kmy<9YwW$IF@ ziAgxMMYN`qwQe0{*(oaaUWcM)B3~QgP2m-bal-MC|>JyUqvu^*CyMjA^ z;r)Mh#qMwVS4`?|q!7y|HH7q^+~tH`d!|>k3jo znSb9|>;J7yC$GQSiVe@vLwJ={YwNaIkhY%I+WOGj@DY(suoo~0n{BuO7RN*~>jzTUV#dRP|JxwDnv2 z5)M)a+kX%>u$?@$olfM!kFJX_nCcUV?8OMmmc_h5}NxckR?t?Ab8vF zzHrMg{r0~_omaqk=j5X6WG5aROef{pz9ShL-dcx+$yq4)6AwD`ggZ(!L=0hdA^g?r zKmUT0*Rou$zz3$s->K!ccb64tYu47od{OF~ZW@uM!{22HIvlLY#>)M>>&YRsb?K`! z;c8f>3o-QaLff_%Nne8_bbc$t%RNI0Stn@gHgBCDw&6`-Olxc3=GK^)Zc9BgKZdg+ z6T_m>9vlAcfBzdBe)^2kQ0$&gAGXWZC%Iz^pT>v5L_Angfa{RL^h$W^|NZjkfA-q@ zG->TYXauvbUzoMp*HE7O2&bhZ3~&>_lY_aHN3N;fE@=0;2WB^_lDoj&2{`qO5LX-> zcOSOn*JA!ockK8Oa~x;xB6G`03B%?e`{ z;*-5*eR?^X|MPb}a?_9O`6_L-3oSG61G^(Cc$yKk#VrsrJzp0w{kHI9aXb6~c6So4 z&gS?7ln}J&hLH$(JR9h7uuZ&zl!q`MM5UG3667>!4gJkOj$Z$l>rV^5O@4~>bFv*9 zA#FK|q~(+ucUT0h3Ql$%U3+nQ{aV+Q7FpLpn&F-0mkouflnBgpM8G!SYDtB)Fs+)%$<>46Y5(KD@H1Ot)mDLFuIl{xEzYX51%x}ru`kzKxrWGcu80_? z_%5_x{?Z42^?&-472TRRr}zzA@q@+JB$m>p%rO~#5oJG96dG29^!~~gaz=A!xGkHA zeUEw9rji&N0vOWP+{$FPuRCCNC)&EHdoO^XH@I?RJ2#7dg>|9%Hy^t5UAz0M6W~=~ zf1AU3tai=5qqRyPRJ+z_Ygb|mp4GWM0XuWO8jArKyarQB^^+Pcl1hPu~o%lFNJ^#>NS~5%M1Z^F- zAB>&w;L+CM(A$`#OO5MHxWl%u??qd`IaP-|4ZiXHtxvw=dv{#4EcmI1(NHH|oFp$h zX8B=u!u@xf-dZo#p72AyPNttamd(K($ItGdyDpP1QfdCd%hu2S)9wqGksMg~yUhC! z{<~)0U0XMLYwLK2hO~79PCrJC{_GR<`ASIFerMx~4}O$2dg}|er1kcWwh#NL(V^O; zEgl_B?c%gAIk`0(IoiB1PY-NycFN7u?7c@M^=ZHd#wwF2QN=kNosm0^T4LT~#InTB zj$hPEL{57=F+?`0s|y`N^es1@ul@A@`WwIbcgyR=6aN@_C5*w@0s;os))GNHJcJ|Z zYPMJr{joNP>cXjufAHpaeCf(xfsGYFueG?W3OJlnA#7-2M<_5!q6r|Ip%^3lD$rom z_85Jsi&K|?+v?(pG6)z_XR6VX!b;i-;rvIO%7qVh%8sxegm-`UM>f5`*5lCO^74r* z&60y?Q^SeJmgH&*(}8#fO4WKVX^fZg%dNxz$G0`h>;R7@t<)1yCdGQkI1Ngp-rI6G zl!0~(0=Cf$RmoMh3XroPBd<0Bs=ntk73i-?wImw~L5(LdZC^L=7~&E_UhL}HQ-q!Y zyz{W}gIz+*Y~y=JQo_bEbr9YXz!dbl&vBUy4HiCZOFom(Zs6`WU}w?*@m zff4ZzA{J{wllm*IR10eHw+(-BB_UNW=~0s-v+{|fU%o)4TLIbXf{aD^s>?QxaxN}c zwmTJ8s&49(_l;WH-zHagPWzDClsA}-5&IEgT#dHIf zDvt3q$uqEsw;@8kuj+C>k-C%QQDSKzU}Oxdl-D{(>7 zi?U;KnVbc&yvUGr?xknlYMxD?m1DEeiJq9KYFo~J2h{pp7 z`5eR|v^w@_7TtQ`AD7olHC~f}B%doW0{_~rjYTg@5|?rad^dy2i>Yp{-?9it+F3}b z_hoqlX?8L~-i@}Hlqe*+#WA5o>X091SvU@Ur+A68mk_=en=jmSFP<>23((-4@g|OA zpbTs;xx*o{$;WV%>LJg;2YdSxs|t+ZdGT0J(5RXvc!)fod=0W*L^Qyv&arQ%Yr+@6 zEjEOUV%d0InYfI(h#Z^6qPj`P8?9_R?T-av&ihF0c7fDpBUA4VobdR7>(w{YbD-mB`x=m}OP zX8?7Q{9h~U#U31ES7Ykp%zlLI3zD243M zNh?r(^V_V@l^!`tv{={+lPm}~z_Gb6GVkEdh{mq1HsRk+dBoFGeB-!FHrC(fdYVmb zEfO9HZg^l@4SjyVW`*&o%>2~l)@-%VeXpo_?!Dj$g$k~9V9eVkn^zWpBuck_KCR|L z(JzBlRC?sQ&dM)`xXE*P+jcZ+?cRLW#f>aKdx8;1<;>EIpwqzPXZ$h9F2Q3vvQS)= z*$4Izy#HX4xb11RmYiZaI(hKsV(_sVR}}8E@%d*Ns2;DTT_VuOkE7@V)BVl2FeTha z(OveFJjC2tctvmN8@-@)bFi7cN$9`nie$hZc<+KDWV;Jl z{cB?wvg4P$>;r`!GR0n*3IPr(4r$qHvvvmdd7KLEae|=J^Bi5sVia>Hu3ceGVXMktlb1^ozOy zNynj9=(~YI4#hYOjTN#Zcm~yWiaZi1@IQx5V-o~4YEGQCSqa2&T8Rm=fXB`X>ei># zizz)&w8%CV(=D+COWr-#d!p6&D88mW#+g9V`NGQPXxFaB}3%D`{JI+Q-<~u1YVfFy(Dv_yccur;;tt%O`}AH1axwwx-@T z<-r1YJmZ6F^XzlZgVgq_JQ7Qes`jziSsW)Z588-gOjT5DbFwpY`JTisVKl24^HT~= zY@?Rq=HyucbnCFPUV^w~SS&Ori8%)(pzwnEN)#dpR+-)g~%9uyHB$9^9GuiPk~T zn+jsrQ{CG8_h7!gQ+kT%f~Q;S%tWmlpfMV4ZT?zn_99+#Py|fa#M|n-H^i;=95rrE z;VravP$8`V_*o>tEe}k23$+hz9btfd-AGf~n)wAcAq~Ulc8-7yZ?e&n?w+v4sd5I2 zuC|Wfqtny8q`S#DO>`Yv%{Q%2(boP-)OdVc)NoV0bn5}>Vp+`dISwXQvG zrEyio?XTN9WBc#qTVjtf7huyfHd0a7*JEw>CT~+)sf`1^ouX$^= z8p-&!TC3nfVfqQrq`z|@OYNGSkUt&NtqF?_qZ8+T@8+syAN|seSxLpYySG#OzqOeQ zx)bf)xbjPIL`1v!p?JP^B~g#}v~FG4t#4uRaFw?pT(q?{n1|U{9<@HSb#lwWBx`-N zmW+RBpDW(`Y+?TKJrlyLfX~GDAF#B)dCeT#{xd@xhTi8D6W}GeZQLd8K6`W6n=I5{ zc?pBW1Mkl{gKjtQgn+BWQU}^;aF%Yp;lqg&mb2rsa4?4)v;EmTBZGQE(RbWQXzE($ z(ocu8bnBG)GyUOE(u&B9y7%K--8(Nlcs~Wc#hJe<$!y?#mbU6?Gvn5e+M$si*_O5A z&QJ_c3yaY0lGN)oRhu3qw51pV^d7vlS&;L5yLH5^jJeA4dWy#7(M>`ZDV!lT z<*`Vt>tdYE4y|q|$-PJnk?dh?uaf|!VyUnQUZzka@eIE{eq9Kih#3N7RfIMnPN<Nt;S7JFT37p$MKeaZYA7a?^8n@u5wY;Fb%UIh z3T#q%h3{|?8+aQHLZo%v8_R<3@JsiGz5*_qJye4g$ies3Rbj zD$@JVS?d&9*c`SFMPvo>8V9ohjgW`P6qEW^q!~%9W{|G?$dg<*lpWlH!PAX;7rkw? z*pnavfwmqUs~Ca@xHE5^>USQ=RCbOFsPc7|^Ky2q3CE6O_ft4MC=yQOyHQ>TU67iZr4hh%cz3GMq69s!LtpwM6Ljj zkF~WQ$KJr&S~r7QsVAo2eLm09P>qskYo>lEFtl~W8(8NAVX(GdkhacfB5vUZZ{cxP zb!cnHZU9P=!hLWXw`ko%y`inSLj!RuD7GP+?Y@jJ{(RaS8wtfuy~?VS!;0YbSo`D~ zDTNlBPyYi_het+6CN&LRJTNw6+kP%SH>yaT%N!ztdoZf@_~nIQV)^dvlJaH_qC+mNq##+)~71;*$2Y`7>cJ*OA{j=vZ4PA*MuIn|+Za z(YJ%#xth7?!q6O} z0&j%kyWvv0>I`9Re$HW3khVA2L`*o(X`#4Q3yP6J*`yA8b?`)Yc(63Fs?G_d-IVY* zQaTN8Nu-F_V6P3L$8XwUuar6#Wfg0l+~_xBT1c8-j>iBJ^P7c8vw;T$K5oufferB0 z?+|lH)E9=C_eREYhn1)ooG*|)vrvo_;>L@ZZTtzjX0S6ABM6VsZ5dtv*=$GddfFIP zM&fk}@dGwCH&zk1e9Ce+LAE`?5ydAqmGh3*EM#iekR(+TUQl8HgyKznfop+ODz8=` zMCsRy#<0U!9S}?~Rav7Nz~MEFU}cT{ZFx~KHnbtS&dc!dlyEK#jzXl@O(juOFB6*y z0V@~#b_5nig%Vlu9KGOM@BL`&AZ`6dY3pzYVuuSf`({3tjt}3taf11~5=mC}-zbwX z3Tig@?Vl03q^f-L@q`d?4-4o-KTFN9y5tJ%r%1@=aI>5bWZ5_0>UdaGs zVUV`=T3gro7X1j6w6>0~D8-2!g`%}}j<(j?+B>who4VX_@x%@~a~xw2H{X<`v+vsE z11rv`tqX_UzG{=7oBGfB@+S$3KP${3hZ`rVUpwJfQ08C1sFvCH-i?51(n5sfM|SDs z89X!xi(*+5T3TCY($=xG5WN+Y2yJcGei1=g4iE3TJfD>q`_R_oyp=l#8+coBZS6K6 zB)_d@Z)R~QTZadwtq)}DatAzXX}CO6qs-+@VEZC#t-dwCo5p3h`_&xh#6#3hHX<-at@Bofqdb3;6=8*M;~CZ&9R+z}{-vq1vE`DcLy z6l|%i${fK;#kNEd2Cqu3c=ONWt-z~c5$n_tz7fL$YPl0Div{8E81|G11yI}2RKwJ3 z6lZ$|N6cwJ$|-`dAUv%|PmV)Yj=-90DnPJ2*DB=P=9H*Sx@8De@kQf(xd<7YLJMq%YqKLv`68>Lq0$}0REriX;0@-dmJ1Vr>Mx}y>M%B$nvgYsP! zT-=$SWn_fTN|@vcUW<6^4@r#itm?q(u}`j^Uy8P7nvP^%{r%J^3j)U)Xols;`TOUdp$j9_)J=KKIhQ~%ISw5|Y01vX0vG|f8l+|HQ zX}4SX(zv$1$>rH%wT8J(E0>LW((Yedk?1>_y6S5c(eI=ABxd?J?W6nLy3mgAUTTuT zvCUW?nsE7OLPbeiC;BXd!x6L$Zybn|RJ^N$qcs)f)Q-HLFX(Mi^Y|QP{K7g9pR*+F z$Db47(5Dvu={G=Kom|yDSzB`qR%`25abLi5i3_Akd2U^;tzY=M5c_HCGc+8ntyNIa zk0vs)6JED85;>5Wr^@wKZWzqNVT9$WEv=oOTDd;0S6m)L4qz^8G(tE#C^sSA`ekp& zPYhd`;nAn{0@MXUSUfT8Qp&h!)e`3vx+)}@({XNnkmJ6SAEf-Hik*^t0;2O5g(O~< zMYcb2=yh=Yj8QtLQ#`QW);DW4o?Gt>QBz4S1-E}=d`On z!W{6|Q1zW!($BPp+4g!eBi-rdF}@;^y2@V7 z)T$nK1nJSrjwTDwfaukejHPfNHzAk&(N%SH7qjiqLO_NHdEl|yU7&>r!fb3ehKitv zihos@QmjMP07nnOlZ}WkrPsTu6~tPSb8xg1vWmsZn)(@SSB+)`;v_!rF#2P*cvjSM z2iFIi-Ai?Lv^59WT&*fLnn9*OTr26{vit;ZH-FQtwU_7 zsM47Q8f znw{|Y+&cfhx-UG@?WC=F#v4LHw}>gb+WOOI>$R61%0wkC$)0SdgQoA@(q@K^QpRa) zIEi_xW15I7g{fOOMzL3iaT+m-c1+rOAKKd8{gEOIq#28+%#OqU3op##y1(@`*j&=q z6<#vi@e~snWeUFS(GTg3ZxGH7sigDHw z(!&2&xAFuF8LBC3Znxx!s6&9cRE+RMaAy|TtO=A|{ni>)q3beB=7cZi8OAFV}cW;pZ|OJO1h_{EClh zaVy4q{E=RV>cvpp+li|okZ7*_dIc3jRxvt5 zNmh7>s|v!ju;DOJ#!keEP$LL*uE92r)uB;ngQEjACGIQ~y`+YaD|*|z1V|=P??IK7 z^Oo88(FW?+CDcEhwP7Xb1bbib=F|>I+6(tg9KNSgtT0aGK)uR>k$Q3O&o`3=CzX9;8Mc*IdPDViyv=c!q;tl zJu$Qa!YhZ>nCDdW6qCg8(~Zyk(-%JSYd`;QM70YYsrCBqWM^(;>%=yhx-L?z4WGbp zr)l&e&UARK5~kB5aQZm03tbFvb{D1SW2cRQurJ7}p|0}S;3-OiwRPMH*C{iOt8CZ)B&L|^UUo2N?Wt2 zYcoD13>E1Van4$-tt0feuXmMeRZ}<}ZEf~hNZp$Sf^1rA>*54bhA)gNz?#FCjaD~m zMsGBVvN7bc&Q5r|g4x!gr?^7{u}ADu&glRwK;ancP?1xQOexdXQ|fKyv<~e&DNH2gsG#(v+#porb2U+4fz0EKTU~teq=QG&9@fENMS~UsiFZ$a6w#X8P9qNPLx_joSKuyTKAC z>`#oxwe@bawadBQ%T7KG*Gp?_A%#%dOqw5{=c29SnQ&fZ%{@o64CdA`5#b?r1#}Rf z^4wYyNLyD`T}Iz><7d9M`0YRa-kW(ix)S`=#p9eZa~k*!ZS5sA0&07vAOdhnz5Obl zQN`j=z&QaX25Ko6aB)KO6y5A(#T7?JU(MS3E*3H`>JN$;^6Q~?tkb(Y^vJb3h^QTK zRnI#W(ctI9q?~au%VcA;#SWsc27djfpa1^fe)fl>a22A%3eNNrD!|J}(;6jp_G>n? zps;CvI3_u~XM=-cYE_`MrD6pLAm@PslgIYRsB-oyQBB7zkvJ=0nil2|V}qnV!p|#> zlY^h+9RSVOeR~(D8mw|Cx^`G4KCaAQUaxdITP;uJ$UjMku|w7=97rr=E-GzZcPHD1(jwI z--5%t48l+T{SQ5Q;%nbt7LwsuD6?x zkTrm>8EmtBLIp_F4H?&TOC$K_}P!pKr0 zibcN8;K!J_Drij@*q)BJnB|3dyF%=@eQ4{SqU7#^b*aF()hhc~EMJlARFsd4+jt|m zLJjuHMs(R`tSPiWb|1>tDK4B@yHN__y5PU?$?tr0|BYW@KHmi=hZ=}*vGdr-Aw{>Y z_)v!M9fI0Zab_ljo&{VdEcD`}t)u*rE9f{JiKvfNI3Ze7m?g1V2?hntBDFbw5YV!v zB_a#_T8dCnwPXhwfG!O>4HjSi@Bel4RLr&$6U#NXEpZ9W4pxvRu}?w^tf`ox&~+2F z?5W94x>YTbxj07E$|XEa8{?ujicWYt#w}+i2KW)I%R!pPW~+$pCq!z;>?<`h?TCPy zCJ=nI21i7#LIU2E@V#S?{Qg(}@xFKWLN)t|Z+R=^LJCRB(2J2uwTLsW#wS9o2Fp5m z<2e_!In^0wA6^)>!l}g4QVAJ|tO;*H84Lt+!iMiiU15R-zlsCLSB`84^3lMmxeXB> z)||w2M4(VS=RjaqO*EysH%Wauq>INsaq9W1ohh!=#~5J+QK16#@ymmUdXSgQG(!OT zINbMC7>_ML{&Ry%R1dk0VYZDGL!YZwbzW&we(=XA4UCa-+kR{%(JO6{Kf8cG-C1!c zDU))*F0#9oq7!i=QAd-Y%PdOc`B>-P3yM=K#nZq)f8Q69Hu9dc=1lMGZYddA;d*|j!S|lO z;KTo!_tsVfx74>Iklc&vML68J>bn{%m383H;75bYs^B|4P~!oOS%$bdJNOtZoC+i` z{XyBdn7-}ssM{$}kRT|NbY^!@-QWlr;U@}SMk)%Y0YM+3F?A_ul<)R5!~hId0%su##&VeBfedIG!{9{ixF3%bO2RMlCyywBqOeZ6R9SL>Ct)nfy+Tt& zDJl~u}2?pUG} zMEV%&^9Yy=W?m5q^X?3n_mMrTA62M`vtkNzgF|*_XU`G)%7}qcL8oM{oP=iFhVhU} zG`PSdxyttGO>zjFvN{hKL8XKqo}*EPlye{Zt6FvNXek*8e27-hsAZj7C7MXld6hMr z*(*juGhR1g<0S2*E>1!!!aY3-r#Zeym)1Ll%Y*OMQ2nz-nmk*D`glbl1=r|cDZ&Tc z82okw>E^MV#7cL_TkLk_Y=*j|+!44~4&`(l9GyifRcbu&OQ&)87cion=m}7f*_8z2 zibB8sF^Zm7SuL;>n$>$@D-*Js{suE$PG2X0t{})6=S!gtn+#IJ|<^f^aPZ%NFIL zciOMQ@oa!HujttaxoYiX!X=Hr>L`n3FmJ8Hu@Y#J2*Gcv$EX~IF0-KOL8*$+_Bq|8n~gdWP0)u+K8+*pk*#H&;l7@ zJYgaAbH1>b*OF3rOVf@ksgOjC_fV%n8L6=TK>K=a_h5B{(y z%6P%WJge8+W2g*5xlB6$SlmSCvD+nx6(}eukMwl_-fDM;C`5?nBSslW#p84^a;2|K5CMyB*or|Ybg(koeC)yl_%P&0G0 zPNB*+Qm@&g4yBxyO>Wsh1-mw_lc$?D{2;%&B_*p?(DU2OJ3BvZy|P;;W>H(;?FZ6l z)nr1@o4#cqQ{fpKC3@B>ZT%whd3!Z~#kD%z*5=g|dr=4+DRD(dTNi!b+FD61ZQUZe3~X zKW2aM7?DbGg0|AazMyFrDKACX-YYU`>nbPw=Y)U^M;q)?e2kIMo#?Z!ws!tr`VAa3 zcb`Q?dtzC03E$`U%-cAe*ng_MmzQbuvumIs%*tzh;K*AeT zl=n3|c{I=?c238TZ92{|>X&mWLr0^$rcT?a+2SK`s#NXq z6j{5qlg}D#pUAc~>XY7oYB23T;1UN)Yv(#N)6Q5-Y8rQ2druxWz|huSeW=r7Cva%zHS<9>y zJ+U%qOG07nfO*=%5|B@DcvCowD|3%0i=@o6HL#p% zI2@dSi0fdQz)&i59&5E*mW{w%DumU6V#z2#xCwDh%49clUBR5vrYS`%l;~3cKfqVz zz$8?WfJ&v%Y$oCJMzOf3QRAM#qDGXGg#nVO1g#lsN3ONN2_Yyu-{>;IqBv%t`xIlm z!&3eP=U}c4a1?rNL+I%a5q2T=!$PT4t*32<#%<{#^kh)Tnt&wI);6#;wdNfRX1M+9 zP|7WaRu^(@#>I%*H?E9p>oEna3Z53JJ%F)qKSUXwf-I&Ti^Od zEjwcpPUH&kiQRy<&g`25aQe%nQZ#S+?p9Gw#{28yLF?duf2Z|dv(rB)gjGQUiq_WQ z8;DYAYt9aA8}P-#2}^_0?%z{nBgZVWzo#p$|8dGgp_ z{hr#`Ni*L*_oN~s=(F`uA zG2q+=t^0Cn?_N!k;@aA3*|SBGpX?MFYipN3lbpBLnNR zmHc%hPqY1}9U&fiT&R@KDweh`MxCq*retwqm1y)-Coo#%Vk2^~ZbR5qId`6+ChHt- zBI2Yo9j7g&4weN0eHF`Qh3bz{ryB_8J7J7c@uaM~Qh`p{Sz6K}XM})1kv$6UtAmH) zG_7)u6bw}s0{FRTVn?>rAcLe@=_Lefnf zz-Du@ep&_2~nbqRa+`28b4q$|Z0rkYYEoRzMRTpQG)2_D3C96E}7u z)CqKEVFA+PZ-ebrLEWX;sz{(ec&Tc4^Rvjk( z=fQDnUZVaLcvE3iJved|lMw+qw9ieW(Z8xu!c8_!`up}WjV^bXv^B3eTDbIEBb}6; zwRI8RG@hpJicY&%`M|NR9BwH;-fQ7QxJlH4_G+~;muu0TOIy>p{ZpExw-$MTn-VDn z_6#@y_nJ_$D<9@QX@{F9lI#e%h6_<(`x&oqvyoao_}gDbguRfjRt{Wjl+c>sE!>9G z_uQLGTYHbTF3{GQzqh*8Gwy^-TNk%&Mp2v?$vf>|yjyYWz`EGF7fJ*rzu>l09=q$_ zv+6D08p3R2{iq`R%Rp};Y&olh($+`*a?OesY8ENWhoI=$JtFb&ENNF2!42yy?31>MLd5bvG z;4ZiOqGuHYiqk~%OM@!Z;h{5{-dW0n&#~mKHufgLNNTYR2s#&(!lx<1O-vg33j`Kp zY)8eQKd(fTVOJP7`M@$3`^1h^^w!^t1%)uS_8C}vr-FfF8Q)U9y22)n$cOKw*-fLTf^TOH@+c}WoNLJt%rIF9e}FLIK+R;@b8+ShO)o?U5-{@-cYo8)8>&9#6~i zBUpgB!?Bl9JlBaYwr$=jyW`!VUc7xB4+_H#iQD zZ^mr#QcK%Z?Cf4-pG$JI^{%5$8|%MR4bSW0QB|O_DceXw)+(T9DnRf*2lgK_GA{II zflt;&78^dDCequH*V)A)l0r}fre!`T$6wBoomP3=x3>u75pm({}D-pzJ*g0^<7 zt-Za$TKVmuR1mvmrLDg~5v!E1c+S#Cv=gqiHBwF8!+uZH*3a=VJ%WlBjflKd z5S8N0CKYLWB^n1O1B|(}VXh1`7QN;X#)+B6w>tPJQ=nY$_hdc~c20TK6O>rKD{>Ip zRr!TzrDAb)7#*iu1L-ofR@XBDe@+pb__g^lfaJm9nBgY~5{&RjL~5h8M}85=(sw#J z#R)BA(qs2K3{OatjVV?*RrwIM3N$3E_oJYY*-${gI@~l-79NvB8{#NYrDwGWtlejz zx308cUSl+EIu@mpM({*SfRR&sw9(B%~#RU|Z z^Hec;0ZOBvncR0)pqagi1(Ad&$n> z2r-rOa54w20LQF?tvP=%Ca4x1-L(2najx=S9h^$70*~e2o0=;0WOy1MvQ0`pahE8% zE47>*IL6XtVazt#XY-~lZk*Fjc+}RMSl0vX>7z|fV_hqeX{L1RSa7ae=eKt0oYS5j zv9YIKoP>$D@ul%2d_}0dy75r@T#?hQZ6>5s)JhQfq`aCb;M=q8rRSv8jYtBnmyoWW z-fFjOXh&_G9q&ZL@no&Dw%%YbnAN+Ad0q~v93prwF?6kKlU!?SA9s9v&#m)y6ZgQ8 zu2dSnIkZX=WG$tt`7nmI&gO7GrhrvporTb>r6*pBlHW_)KwJBG%8aTR{Ai8u>!PjW+WNuklF+`1 z&a|DqZGq=^>n`^4x7*$lBrTY2WIKK(q(x`ph&_M1ii^}k?5oZ*u&5LN^7|Eb=u=hjQD_nc(2 zY@f7scD%o^r#2z+!ObbB%o5#totKSTlA*0H9XWQJ**DjP?9dy{jYncZqbN@qxW5o> z9ibMOkd1#TvkMQ<^SlM^gs0m#S>KJdpaY7!s8es2Rd5?2wr003&AD({R@(3X|Fpde zm|a(O=ef?gr%I>FW&2dAkV}4qU8O5gHile14366kyUJy`WJI`j93sf$yAnd!Ko})) zyUjPG*;Ovfg)pQnOps$TeeEcWl904`q=)XAFS(X%qA-Few3CozGN}Z}r|BlNl8?}Y zA$WfOeeSJ?Y}HlIWLDKZXFt|nd#$w}Ywvyb*=KWB%-`vo>8lX2*&p2EW#(W4DqaMG z{XD9kn!E(58C{IV*VWE5@jKYdBj)Rpx^|fr*yMsUSc>fIXfhH$4A}?yH8-cT%3@_H z(N^P9xM{jfN8RqBg0SMDuqN@;!7&JTI7^5{(!E?liS5lMQ$7-bFSxAN`r*#`G~|vD zM+RX7dBlu#SsOy|ZG;uwauy4ujEM56>k+(&p6`?!F`jbsV|C%B{9GluQSNpc1v)kJ&>I3=r}OUuK-3+I1%yT76l2xZ7iU-LkCu z$F*ftSc6Q1GIky6xI4f~c=34EZBSnQjAyjV?31&5IYc|>AmU!@ZPjf5Wt;o*eWWN* zlR9tc@CK5yKy6*XhxDpq7mF@;+3d)GHuuk<206ngRpTwyXp6K;i zi{@#)=GH}zHjBsCKJ94D>?BWAinnPW1^@2l57Qcn^^Qvy$j?qu!FGQm35}ykGRsCT zfBb>q_YJ4nST)IZj+%4iH&(gX?2A02yo9DRWUcS`sTI)%%@>a$d zk8fmg_zbdsatFJ4ebtw(qT*|(Tm10`uz!kdfmWY48fX-{~V*c!sX0p}A7zOgeLwe6PK%~~oHoH&}I zE(+$x4WmW#xXs=fgV(&cqNSPiw{-;9I~k^FxVd;)k)Iez@3+j`2NRl#Y{{$mvhEaY zspWJ5c+lJ$x(#-H%%s;%Ft=s{oNM_cY#*A<&L+uyOV+xj=hiHVuhgbPJu#zx=%~>y zC^nm(<7#h*zE{LfL{+&4)eukjK26M2%5&pxP^G!Jn^UXYMQTZIFWo=cE=FPKn-6D6TL5=#~w25Cwm0*R85T@dvao>6`gKpjVdOa+LWRd9Z?b(n?B zaZ?ZAkd}s^jq8;@CMx==j|aa9U?Nj zka4`{I5h!`ENG_X`7J?qihLiZDr+p$e?Q?(`mL}RP5HPIKK)G1oXn|m;JdO(H2j`! zNLAnX^hH+)n~OfH+0QduT}-nKYn|D5$1*!RYCRKfG`)`g@hGY!qT2f|_z~eQaIe|F zv;Oc3(54wSc2>fjRmVEk+P@uZZT6!fBF# zGwA1j1`JQLo9k?ii6LxR;}K>!22cJ+{UBG2J;mPgZI%5u|J9F#&Bt2OFuhYk|5*}o& zZ6^kUxpiV+-L*{fZiM^_vjM(3Y+-+IVyuK?t+zOpr2WwdAW ziLAAM_`}Stmsi4LQ)cG2$+HrUD5n_py>~iTPNU=ujk3B@?8d2>SnHf`Em&VM-kbBu zq}weFLa*Zb)5-;cHz^SnF!f>djSI5eDeIZwh9A zoo1h9fB6KvMeOiL8SDF=jEFqnpLQ?kGBa80&(bFx>@ApE-@zMP`LJT{we8tVg}F5= z;p&01jK!nWQ+YEKv7%FPG&Dd)+4FmpD%=s~_Jmr@N_epoi{Pl}5j9Zuh1R?#`E>(F z5f-%7p1?cxGtd_3H6|y6>hU!2s|X@fX%AU;0bd6pqAlSrDN7+v>E&49hpi~Vb;J_x z4XRV1h@WCwmo{f$#Z}R5B%4-*jUp$U#?+Bk3-P-_hzKdC_25Wob5YXNkr8>e$c)Tq zqc)@Hv6qZ#E_(5Oj9(d|_;OGkK$IjgIXp(g68Vc40$Tjc=@MZW%%)rwk}*0;Tl08$ zE~>DmE*b@l2UulMt{sv&R#ak$X2@%U>oE%+9MvSpPu*l30W{?S`pMbJ(bLEC3BROt z1-&%f%_OoVA^S5AQ)H09r0TclXXr5!36`J$VoDT6MF_eMw|bFgs61v&%JIpZ!flvF z+msS}oCN1G4A~|z#uils_i}atm)L2LeF6(&XCcu71&-lTR3gMDuhU>#!@xopaxofS z$1ULvd3fvh^!$W|lt!{2I*xbYt@)B1(a4!$G2@|HbmGkJpkkDCs&l)&Us}y-t;o?NLx#8QJPMkV~PwcE0}| zv6mple+RXQs-pe>1m2Nc_098J0;eJX#PK*kF9)@W`h+XiC$EsW@TK5O0H<68qT^<` zKvjLAA_Dhz4$-ytg&JJKf^OnX(C}O)_=!KSTq4H~#|m(Ar-(Z~6**wL0Qhwevib%< z)+ETGmUifAJS#hJ+(bg~A5dVmYY<5a=*3fu?L!DS{m1 zR?8+VxX2ndHx0-Mqa)lcapjo9#d<&hrN@ji*%gAFqtN|2#N>>Jf@RUbP<(bT)rV2K z)sJ{^#SD|92>ub*;lB)6<2sZ)mgVVG6#}p37?Ux0Yto#P>t-8+44ux7I?nK?&5TiQ zKzp#f20=yj@VbWG+hZF;6`xM+i_@zI89ng5*@5PW#nWB2Af{uSgyOhH2nZWq!#+ND z3L>04m~lj*wj8P1`eVH|!Z-Jd-*_yG+uvsFfe{xq9QY7!rusM))!U|ak=w}bs`=gD z+Elm0uN^Vo4Yi}2*NqK7FoLb5jy;mFZ|ddorBUfRzIJyJN=w11%FKW~U#GpVlkz-+7pju zlg?^i+1SHV(knUP_l=f0k%<>ME)IJ*J(;2X_inJ+k0W)JooVhqIxU?jI=yu`_g|*Y z9O=#K3wviQoTDW7FMmGPw+1fv$(J&!$L={7_Kou9VI_I0aj5JZ4-8~?*DC(-$?g3? zePfnK$(BUkn#WNa&9?HRi~;y%NF)eW>0un?E`h~}U(+&)g*>6A1W$i+8fs%iRp>?t z$^!07hCsH#K?;r{=&+}zTqU0xd_Jv6Nh%hritB*KL4>1Cv%gWHLL225APL2$I12c! zhvd7}Hwps34Ad`RkGcZ2MEEkA-af@W&{NNedU3L>(~fe(+XmlpT=X7#-g0?>Yug=P zkb*Go2vSE0DeO5fw@fZ5%b-V-QDa%ipUrK`Cy7fLQOwTmC8UynvplCfXV` zuhdI`1R)&g$Vow_HpOm~CNfkblA?m=GQv29q!9a44Ir-wQV4|r9 z1ls_cv)I@eelrr!kP- z)*jzIWR=HX!PAOgO*od}N*)hy>z``;dOB@n`xNWkNqBywI=_D;_R*1Ui;;K#A7r+( zJ`iSS_xb%ce9g?SH+pG-3=0p;&sS=_9NjaPJUro*&d==I73}zB9V-@TUF70p zLz}nO1f#Naf;W16Ho8#p{fB#!)Hz0uNPH`Upgk2Y624O+7okTm!|V7O?}@}0_6~|+ zku+gLvP}+Ot6*+_G;N8_tp7bs*(qiwXA?~*X&uR$t#Bi{3bYr$ zO@Zz4r?G!X5Y!uCt)pkH3q+7AI2Kv!31cBfcC_DCsFSD|ymp2MG5O=TYgC~KoqOB+ z(l$1}(wJczLH9r>H`Y;4BYmr74o;s*!XDD&Uot9C5Qy^-OoF#! zo+q?DjvT-jXnf_3r$rG1ETsyH(OPXZonAf>e4gi=Ge0gL+XEUDT(dX2*Sxs+pa7a~ z0%KaFym%7SOC(Hx>3uZ=+*cAPi@>i*Ek-62za(}d^in6~h=~ae@IM{Ln8-pC3=7kW zL>8fxDrxj(-tXcq<8y>!g0u8KbMf=`GfXtzkWHt1NL*TL>4M!AAX>{mf*yFmw4|b- zi-F6=71dL0rh|NSk*EJOVS{-;oA0lK2S%mOkCKy6#uBG{%s~E&f*0BuQirS3EL!Ua zV>tyn9}01xGG8p*;Zi$*C|K)Pb*y!VaySNookPy5^m3Y8kMFv=aQ@5QZLz-oXX(}4 zeV$KdqA4t1!Q7eER7?H;Mdf3Y&b+`8Cvw~G@V@D$q5C8s$YijfWVrT#x<6D=!zI=7eBcM~f3tGaENnj z>zq%CtPyx57@B`ErP=prg0;>kwDC&)IdgTx_UV&F?%pqv3+>1^pB&9pNy6fR-D;JW z@POhT>K2G+i}TKh#+xaL#eCslGfAF)@~I>b#oXsM(z?m8Z_7u*up^ULH3+yU_|a#F zWv%tC1H)Pu_Uw(`PP{dduvG7@!Te|GhB%jFa$#?8m^}y8{UdpEiQ=v7;rLQ+Td|&y(Xb|4~>| z)))S7_Oc!3&>x;5Huv;4Vq&bUz;{X{`d;c1C>Q3|p{4CaSZnLqLDKMx)W!E2bL-oZ ze*Y?{AWG)f!TPB@={Y;#Ox-L185gt=2bb7EKUeO?q)1w4E$#vTlA2CKbL*f$eXOTsPSzS|#_L)a4@>qP64f7o zga6gi%f5$-o3D)4IzQfmF7#*SrN#tJjVxngP*_5K8UqWiZEII%HzFhF70Y=-Ms5^&pH(< zJbkv-=@Py>fYVx}<2SZZlZ#O7Iz2OcAs+k(=5>$tn7!LE{(LrZpL+5xW~;&e<3=ZaTKF@9(>3y8AT%64HdCk{Q&gkt|u#P0ysI==Z*ADCutJ=lYV%P9`F4p{Q6nrQ;`Ay-$8;8`fZdBMZp*g}Ejb-BwW<`TP$P%5+JM{l zm{k+#^(tx8im3*nb|bb&90qy1L6KNgSyRO23JCg%!#s9X%G_>u9@Ic zW;yI7U3Gm$M!;xgZj~+08$LV@4b)-*ChcJy$0F|97l+12%&kQ7Vn@G>AHpVeP2OQR z7IHPSX5xtHa9oOVj?P}zniXcBhpR|GA)LGQmGAuQ%QGipvetpa^PQ&QsDbgDkh;JW z6*y>!6Ox-*wG(#pO)Qzk&-5MR{RD-~cwMb|yPb`WwJuXOm)Vb&wbJp~dJnRz*p-21 z4S6`et@${Q>eX(r*7Gf9$a>EwGcb`O2w(DaR>G(A_aV|^C2^=rbgSo){-0i-HzGy8 z%oZAz&GFNEr4m8u203RZ&P@yJ6F;v}Jwx%)E%7sb!S#DRIIUOUKoPBbd$QngtO>q& z)<)jju)V_4XX`0|>}2bX$Z_J%k6QEk%L}h>`eKW1x;?iJrMzTCvhjqvcluEjao|RX z$XXMcj5pUax1LkdiQnk=24j2(cdO-Jd4HcZ4wPz*p+sC9pyfW@r$sKy!+lrg8b&nT zH!InvpZJtg-NV--^kAe^zCNwjU7PEeNWA$v=QOugQVpg|UpotkGNSV-}N7qEv1l>L79VayND1D~3V$DO0^y_b|=eI(jl6gRo|Ca#_Yl zq%M~>W~jnV?97lnm1A*mx9=e_PVd`9T=cd*7rSMUoEj@izLi|i(3)xL(3%1~ztTpI zbC0vW-Ware$yKL={4gQ6^V>40rA{7dPd<`a@E&qI)OcoMD5PV_wLKTR1z1F2h_W7^ zb7!hiG(^i1Paryl%x><2@LMk4*SO@Pb6(L-mr}e;9{6QQT5`_y zjx5*DPU+Sn5iS(0hS^2FLHa>p)$MvGR(BUY=PX)lxzoy?pD8j=Ql3af6*Ul))t@Y@ zU}4i+)-R#Pq-$R!&=Fh6-A!}m{zaaq_xDW?E?zMxYt6Wk6})m>$yZ6q>%>m?{Mz)0 zU7!a)v^aO#!pGDgeLKN_MPvF0+`$ps@i5cPO<3y$=66df*W8@kOEG(WN7XgIxhd6$a8+X6q2QB2yRfFG&vTH)EYsC(pQduo zkQ`b?uQOR|W+#O$Jb@MZWT({EqC9B7pHBZH{4CPEDqe#$OHa4fF=!?k9Ov%WC}=j! z<&y^p!CDv0txG^!cfKdFnIE*Bry1Bz9dN0G4)52q%FOE`Ge5}F>GvhA{hV?!MMU32 zSZf<;C0Ofx(kL3=tyL?h^k-qFb|#-bI??0OIW~ni=96Qx{PqD?9Nm~EUlCgNeQWI4 z*Ph)f;G*C{wRgk%P_BfxD8aV14sq=sYh7zRKI-fiR&y8jW+8CEa(vGx78Gg?vpk6z zt}-@ahso@|N~0hvq|vz8CBs#JS<_L6UX{~2fXff>bu6i5F6=7{TGeE2NNHamPtvCU zF-U(i8?_zlri<{pQI>P|QovR;_oVkgqDbqZ2)>$;a~JR|Q>S0+&!53s4>Nv7jM7?X z{fkO0YrQde9>HgPy_&A+6eD}AHBl1H^IL`!IO(8DND?1#xzZP)VKnSELvuQN5Lizkz6sW2qsJH8YV*BZ@X)=oe`D5O9S;Aj#V8ASS3l|H!VZK z>kl(FP9$s9eyNwJccr=~k_hc0ahdUSgG4S%Q$_;A=9NRliL#l>imDky6~hu48FQQ& z4bM9QXbBNKe9hAF_h-Rdk7cP>L|~Xp@8oODty53H1i!98mGwfJ)e}37Y-1nzH^=~@!a$>12g}FH9qkE;M z*QN=rG8U^POw{5Q!TYaQp-(OPrjRftuD2zTmH9?~Dk?DV4#fx!v&5DDAWwawU1m>sVULbfZ0~_D30 z|Ai&{Ki^|BPwX|vR-U6M@OfcZA5DtdK9oz=-5{}@!;QRn`@@tQvhD9pDIStuf5_Y$ zXAtG1Njh>%#m3lWmSL?y8DVrrhJtVfm-{o?9`9RIq070oJCY1@#xN0+{mo5a-4q8u zH1xx~Cd@nILl5oaOKn7m#%lP9=dODHz|Z~%>8K6Ntp|%{_zR@@+)f_n+?4MLpB^)o zbJ7N5OLmzxg;`n10@hl!tScbJ^Vb-=y*X}+-GMf~g~q(qS;8iQqDJz-_Iev;^y?qV zA52fBzgRmvTJIDPsZDh;HuGkgnd5e1Aqy^1glr#5F+#I(IU>kXD(y?a-@N&M`0CZ^ zIB7c&aI|u(dvcLfbFk_2KJ-#Iy#*ObCG&0cSj*b(i`;0{ijfc@$kpL1iXq;#*wN(Y z_(d(&;U~x=sPhX-{W2m0cM*v9hDF<4h=vgAL^PrcPef7Za)!~vr8)Q9=l}G<*H3r+ z+;ftmSJsYnBl|G|u$Y9t%$JPO;OKhWu;l0jTzL2Dyh0X}PCzftuSgXz+YF>F8yYWJ zjFQKZm8knRolJdx(CcYgm^m?~1qg_QXgSi*jtHY-RuT3aGOlt~**I;9SNH{R;^)8k zL!WrZw|`f(J|Ku0ee@C>OpoOi=ik?uKY6aq=2X7r4dWqVX8+^tn8QzHl^T| z@Sjf{eD==&YnJ844(K5VICf_p;XMiQYmdHFbq`_7}apQAmlG-7yK8qzilL!YJgx+`4h-77oV7T5oCb1h;%{ zUDAD#wVt~ivHapD?YHvLjAKIshgmc(hcC^CeeGlHbC9*(O*18IHMEYO{ku1vy6Hhm ztpj1ciki+}Q@EYOiEaHS2tIa2zi8QP3Ui#Ek)qj!H!@}T30!d94V>S2Ps3^Ndi;(c z)`?fOk@^^F;NY4hXKuY@t;agM%-U27cZys#(&3$c6k!iAs*WcA8)^cf5+hC|@sx{( zN}%}!QRvwWjWd<0sPKm8c8w$o1v)MsK$yoS}N|-)VfKBF$3XISacHc=CgT znYOn(&8=t76`8Dc33Nx{ircW(He~fPH2r;timZinC%!OYG?#(c-}Cq9 zS7^g4CZortO(!YUm-ࡑh3tS>`AH%v|YE)#7Hw011r6pgog9DqE{RtrpiW=u9 zn8p+0KOWC7Renkn_(3VIW-8`RB1%sgVXZ=_g20c0ehFx`-tt$kzrM317ZGHwtE6a%VPlr_z5ok zX|Nh{2aU~#X}L{FuZY~oVT`yEGZpNZkMG5YGzIk~sNfXp1E3lnb?bF zicm`mzB(3!{AsFQOP)2sDRURgfuwVqwofvDfWHJY-tPm;#=RQ4QOANXy{OAu z!nM}2MJHL9y?-}CL|G>ladCJ>q^Va;bfibE5-Q;ul8#6e zajfkD?Ac2~A1Il!1!ZMnU!3&+yO>2=e9KS%-8xkJ|0Ddf5T_1XS-RX89hbBmDa1ts z+{@rPHCIiB-swf~wHHqP<1ZvDn)Qn?mID%%ce(BsM~?E$>T<~-@q>Pji(o;Q34Tii zy+T%i#BnoRlvaI%=SLHvgLQIQ808|3G}R;~d4)MHqdtzu%WSOD2Z4esZ1MNM{{H1= zO-+kRR7etpAzDsheWeCPHYgiK)FG|=+Q9QQQ~x6an8=s{it{`)UH z)Z4qFS%ZO1Kz6TPW<{0EIozD19ia}ZbVc=4f%&LKl;-dI+rhmMYY%K@XhG9k)Q7~32c%6jn zW7P_{$H-v#v8#%sx89H)db}T7gu0KB|Jr7dul9 zE#0gQA#c>~sE*IV_ONe}He(;I9j~C}eQV&6>eIh^L-? zr8TM#R&4k)*Vw`b-uqZf6D^P2ns?Py_H^cQ*Be zC8JD`(_N@?*VX9v%S+ViBj*@!#yLr>>02hpI5HyS&+|4LV>EvUhi%<5mB&*ql&Ii{ zLP&d;jDKQwptZ4BqO{~##P$8v&HB35Mmn8VA8+Zsixl^8WcI!5Q)sc98yOE@9!uEU zE*Gf`PsQ7smoVDAx!|KhR7l=OV(9}y!66s1(dX-7$7*e& z;n>|F&l1eaj*a8huc?^PPMlX!P$WJEyr{Hk*6&YOH)~-o(D68)`8Y=(7BCN)BNV2! zOA1vK^0w>ui)bop6|_j`ox})2hF5EL5NNUq(WS*A)|~}6uzavApQ4>mAfRtLH>SM-_tgik$uTvjG15RM9 z&>Aion%F5BkYf#C-`aRQKr&_&I+zZcSrS(y*@HwV#7jrO;rIzW!eXu0SL=4%r)ewW z{BGsy9Gb}_+C9czM-3aWumSr`&9r%jJw9WGJjPPQ1)yeqJw|3Zj{w(Sj79C)y-N6wKSr74RF_~ zMvlFK(8(nAYDAF~{!4~u(VGl@(>V#B*w6rr0&WPyU(jHi!{#XSz(?~|kgS_I#3A?R zY~d!1^1_VfL-$9NkokYTDfHRXGULCFwbpS;;}Wl?O}##zb$&mqhZy0ol4?v%vwp!= zG;6quh?DoQaCEMcT-r@qTtM#|WGw4c59Y zYki~EOIt`baH!8%_p%f47t+7?vCu#)3#ntRmlheHQNGy?^atx&yALq7!CHr3nzry2 zEq%PZW38Xu3w4a}ivjJ0Rn3}KCd6l78Qg*SB=a8{uxkFTxGWkb#!SygV~V$rs};jQ1o{Qw&Yo);dgMt-V*d{fH0w2rpgDalBWoMef!lOOmB}%m`#Ri?MrW z{o`k_Nc#`5$q#F7*I((fU>pvWvedesLrp%ygIiVdCpV52C+7!QkULZi-^|zCKwb@M z)-PY(tefr~!A4KL$&PP)v#(BWy)ADXep%3#BaiaK6Qju%O1bJ!A~Nb(UawkBdD+(p z)Zwo`oasmpy^q9TD)*Ibw^>%aJxN>RR*Zgv19qOmT93nEmcPly?_5sKLwZL18088z zJ!h?aN*}>tLHhD3Y02L~)47EntXA!7Z+sdnk^EST08%8*Nk<^ReUC^w3>j^uS zO~29G;yZ(-4?t?Mg0x5DQ(fF-zshaiQy71qw>iSX_J-WDwsGN`biFe zJu5X_DGZQwNGZ|hL7+B>*7C;9r zdMOF_XC{@KIhJozdpgFQWsj^`(H1b8EY&x0#%%WKCONV^i*&Wz*}$&Dq%< z&ZiQ3aUc%cE16jSJ6 zM-DM~+u^WJ>#|UNEjDw0PIK!**1GtUik(#)>V{cXJ=90m2It@SeP(uE-iG>X>q zuXIK8=#|#W>A$+ohEG)JAUCo^7_i)(%C}2dsH%4ZmJeL(AhS6HI19CyDJ}0Zor|)aY}+|7SHLq zC}5PIcMYy#mP6s7e<2 zxgIWzZj;4!5o&m`KrE-l5VHhi)o^W}?%ubv7K;Sv>*ug(>!!JsA&g>NtQIjup=&~B z^(hb@f^L?YOk-%)=_6x@o9vxR;m5HFD4zq1%#?8#@G}rVuAHPQ2-&{K5sU$>b`!C@ z6GIpxyaYaR!7eM;9YPFc(?|(}p1F0>@X$-Gl|4w>g?1Yl8HM{x2V_zq76vWNnZI zT)HXz0w^3zhB=hPrmV;>2+a$SF2U<1Zt!HC@9@lpgJBU^MM~9tIFmxw)l@M7N}TI2 zM)z8mfyB))SO5i^NaMSkx%HjAE5eQP2I*67~6t?kI($yVH3jIe2(TdOW4o`|$LJFq^n#c2{rJet#etpE!WFm|I6{9mX$n;d%P__*O2~+W53y)jwDYEYc(A z<_quFg(+T+X(@O(&aElJQKa&B%oWV7v-JEUrFc3^qd4?^=dHm~P#50H=!1-AG3!44 z?1wCOcP8ei(%J0zZ5E)lqZe+E^4LG(?usQ59{1e{Z*cQLeD)v6-C+I3$zo>Tpse*E zTh@Av&kLpbbfxv~DptwwSzA3v(kVJ`Pc=lsg@7wi`DMFA?GnMqBA~zp^t5z>h7yrXL4oY5#;A&W zz@RgLqdPKdIU*xuNbFtP9dDlG1j2-m!lk4I7#}Ol$&D$%SypbG-NBQIuts^eU>b(_ zsF`IGj*xp@G=XjqcirCSIZ}n$is>wEfK-ThyA3IP9te$6DhN+C_6$!L!lihNNR2)(XW37{N65vtLgA>7Sbc)y#t+mZiA-vjxiz%zg zV%Y=T-6pj5jgQIo+1-@@m+;xExvmx4H40_u$PN@eeqxd^P?itPU~TYQ7F@)TwNB3= zm}hZVb8GD!_RpZd{+IE-LB#2yu+JBn4?;m3(#c9|ZNuLwRCq<;?N0B#)7(1MbL(2D zuoBKb{{6(pbj5d^J!^#%jc(8g)|=U*uS*gK>i8pl{TeUD0xxQPc`xo7%NnVywPq7} zccR6HCWvucu;$hY);ewrj?b;5wf>In3t+T{IiqXut#lh-y$}7i3w|3-O%LhkTBt&= zvdUT)GI1a*?B%s1J-7bG`^|15NRt^K@U2r8spKb#-o8!<}DE3`9a|6aB*T~%t6{Bnaf%u?4uO*fsDb_rcgh!&t}5#dT;yJ zC(a3lhx7|rYkOvewYGCU9rkzd*86$nij_bs;fpjv#P;ikp0-=&&Cb7K4Ei?SC*|y`0`rU?dEL39=*-=Hr|6&cc)o@UVd$kHrP_U*Tr^uRd?TAmA9AmjghQN z-dZ2%V=w>oLOQ%Y^^M-(ZF}0A-MUE<03W=3z_;`|_p-HCk+mTRE8q#7(n|3Ry4k2s zLdN+~CB5&jne!Pwym@}F*2d-O23xG58tsI~#b-^qaC>aWZX7%^;{jI(STgMj4F}2x zl)Gx*N#P?(OLxytOY0%(TOpmEP3{QF%gM!3S{!u9!dPlqkydgiccKetQhD7R|B*eK zUrH6R03c zdMPsRBxgs(Q@qTxD5@0@4$u%|lm!HOTe+vBqG3}$$b&)->{twcgyvd6!xRXAfI`{$^Qg-=-Iqt#$fx z3jI5|3cMZ-m<@SZYwa>~XaDlHp=U^)HzfI<9)0uL)MD%cG+T0Y=GHp(I;C}an}Zs515%Yj}t{VuCM`&q^XTUf6YEwn5VrZpJbeUc3-n|7A1ZEc$A@IFQr zp?3Y2>73`*SnE7>%&pVn?U{w~Hw60@Pv$rdWXzs;Dw#j}7Awln)`zn5J2xHJOg3hR zt{fwT#jDmsmgYZDwIN3xx+5KCZr!qZ=fs?vjqSlhgZm&;Cz`3q)^P?Flp)S zJ1O7N-VSH!B*q|OINO!GbZXL$tw~RjG-iE3DdQy`|CaiVqpXDY@n-8AGS}Fd<-Oah zVf^L_-LW=xeK4`9#uhs4XsunDe3|hi<$JdaggO^{2BZd3U4S@(^y9?JcU%{=atTsn zDpiFdZ0slisvZJiU?L+TtTKNSW#3Y!ccC6F4B^|E2uCfq`;8}777b9>fmi; zY(blw8mx<+6oYa1Y=>j~7lE`ageY4_B$Kk7{^2+d3OoU`{OE@=X!@{(nm7(bXD*3M znWE53K}iP7f<&7M-tua&Rx(DX&OFAP*IoJfB_c88qLLHK4rx z+bo+5Ou}Z%@U^ZkM!LW)&w}?9pI(ZWwPyT5C?r{NwGFYz)PGz6Y!nnXz1U{57N}?j zCL11wg)}Gxj4W0Lk7r&XC4|mkxD&wr{4V?TzyI=&{dCMPhDYY^)hx9zm$oxGYEKh6 z(%at>jwcT%N2jxt`{JM%aftPo*}d!&@shLOkTP`?N8mNXbVb_z9rscpX>MIw>z3-o zBD88e{|w12AHrzKj1OR~gZ)#V^Qf<=)ypv%9x`tFP{*+vp8cse{q1M}{71I02#pFz z-%mxmH?dJg^s=W)Owh5{U^E%VWXM_%+qUp1JyEE!Sop?02UZ~NG&oKMeTd0j& zlfwPsokW=mAhm70!pheWEIdDr1X|v{iIaBkY6zowL=giOXWw9t;A<50abC+kEQi({ zc5L@7RmrykLjDhxe}CKN-&+w>G>HX`|;7$?x%Sjfn z)+TG6N@7WVE+_RJj`vjOtf#?t##U|2a=r-C;8`DsL-8dtdWrMv&G$0AO92{i`T}H!t)LH~_7P&3 z1ScHm$EmkhWFV&nwA=-}dGgmQ>LpBY6MrpvCzM=F-o;PuDBwvPOMpP)!z&u5LOB?O zT3+;}L|Bsu_!&wk#N0UHaR|YNR64T4hF(-I-+u1?pLo+BK8IW@Avq?WavSC6$RId| zp?ysSMY|P(T)5c|)CEFm^y73O7K9^#1|D_;zXXm2x#u#;Ps<)-$fzPKA@LF_Nsy_m z0E`w4s(QtV!C16??gp%NR9G$NA-5nv2$_fDJ%)5H;s1Pk?7O$#zP01mT_(|SFM1J8 z%z6H#OJ&*AEwjXp!e^q0uHdvK1NdzvBxy6soKRaRw*pZz=xS=Gyh1E@FLswRk7do8i8=Qw?`q^lpGwb!wYKD4ne}Lp)CIi5F2%X^)#ubt zwypK@O8Dl!tWGx<&*iZ5bearkmH=yAV3d9QcF{GA$d1wxhEEW1vIlbj9@cu`EIXLb z;x6>bLrZZDeTUBeiuJUFB~oq2{;&Vt|2T13jWvP}P;MJo z31>4ItHsX~h6yg*EqTJEf)=g0b>e4OE6sMkEB5OU!^8$9CA;k4_NrlqS3~&B`#yEe z-tYZTtP$;~_^Q|D51D;sOKi6;-M%TwqqVjokWuy(_E_Fl?eVt$ddr8FxLZwnrLWIX zJqr%V&`LPPLw4ILd7VAso|SM8n^~XO=2P(c%cEZ1S~_h*Ud0vRTrErdx=~9{U4cC7 zEN#`2mi3N!#|xwWkp8Dh62WgxgKxW+ZjGZm{@Yvs(EjZT&cibs zLHmgb>pj?8;$vB}_(Vq?rfdN*+&lFheLsWByz>VIX zl-K2%d9(Txu6U?m15(<1p1wJ(oA9)$4q?~Pz_>!hEH%X%YS=*AA4}BXcb&4$g<@OF z9tu|nzP0D;H@xl4%iq`WbEjTJnxYk@6xB=~AE)U&XqTr0J)<4h#qVBGVxXyQ!0AtkDaL=hr9#dTmTGKx!v-E(Wl40G+>}yNLdW@eI^_$&zyHjg|L%_~ z-&da+!Lcag)%uyV#AWHAk=HbF%@|*fqUu<-&{sH{p0`2KUlZ=8p0$LbBBfs}tK&V#_ho|$oGNq)Fr>^?GNRe=9O*I0 zu!%t$BYl*KtP&*;6ihE&c$e^xtgdii>-YBS)tcncgx=-WnKAaCA@+d z<@nD8T5+NJmZNoQLrZi$zo>gf=w~a&H}sUGxd8kNufO@foqU1Kcq?H;OiPG}X(7T% z?-P_aw;KNCa=7FI5lQE`L?$5YPqJLi6C`R!pjg zr^}ryw=o4{#NO22eivSekl!Su92cnM{hB zNsyL!xTgFq*r#~445v@oYNT2y2>kM>J0$=--Nc2^%NXo?tDCh5r)03cjq7|zGL?gX z$>O-~BJMkZ3b+_V22Q}yF))IeGg2iDF6mu(0$DN*E9|ul4KnCEw&QYd19OrP_Tf3B zfS=+eYprXJh%X(g%2jJJjt@WJ1g?V|jK!RIdZ?PP8a(gd?rvSstdD?G=d29B#ir<` z(<)OngHlrqxzxkQnhb{XFTv@FUO!MVgy=P_&^qnk6xvG2sfZf3kwOi zZ`9_*94L(U5+KpX zX;vLkIL90*k54ywlzaT6nIDQvzlR|BG-qD3gt!Fm)YN>waf=VTF1N(PSh)MP1-`SM z=(A(Cam%DTeZs~Xf1KGmH0i?=gFZg3m;g23{wr}>wnQvCzV*TjzgxMWS#y?Q@saHb z_3m0dY2d-=_I^JLJF||BVI(_wCLgn@yXnoJAlvSOdnz)TUD!8nb7zWC-X6{qw|y=z z?&?kX5U#VvA5fLH?Ow<~veB{hn`btq33404hgm7RKTEClZtCiTHoZ~%s~S8(VLgEZ zga~gwv(%g6 zbDUy(;wVZyl`){|&7|KB)a6jXFzq9HhVTUbA*Wx2lsEdChOf<%S7`R^ix^b1zUqQz z4N|iP`V>Fy(8?TjTZH>~>25uD5nZB$_PYZ};*w3br1MJq&gkVr>-59$AIqr|sO+sn z*-Jd-4@z?$&pa{S;rD!RvmHS+DTqj!0hbW)$i^@8+K!-_@Q%a<&02^Ky4(tv>&lNo zzu8=j;L}NDpwSN;yVT+60>@&pIbo4Bh?JKHM<(Kd+OMXhoJ%IjmcSB*ZOocl!qbex z5!S$or5+sS*;rGuW+9(dDLJT*Er6kp&flm@;wlnhHPEbIunU@X;&|p7ci5EQnjyv5 z^l`47OCBK6(srNUN<9Xaz)Ps$ttcag-eVc&T_6=^DpYZ35;zkT=OLE!%RFOnW2}{e zCKZ5{^s;b?$AN8jmV(Zmj%KIkACFrl&CIP9BA(Q{|DhMvTyazx@I3LG_5R z0HUR^PBW%2k+mMdfHjR@LN9=|)}TqJr8hg)8hm)GTo>!5cObE@RPv5{8Pu$=T-mJ2 zuUDpXf!=ZP^~rcdZC0Whb)d3T>Se7tP}nuN#Tv?eEnt*z9y5=%_MNjnZV*jb>tSt( z^h0(J=cX?tSnC37?UMtd7a~zvYwK9+hcye{#maqyF^%_bfQSW$7O>Wqvc$FY+4>Mb ztzxZfC~Y+~@P21?vre$q&Q~ejzj^&sl=9?2C3C$f*8I79q3h#YvE&p;O;L4$ahvCJ zI@lt0U?jbJ0bv&;>@jMQW5ZVXXy(|S!|X=ZS`TV@Q5B|3aHlBsjazJb`a{mh-x_ys zn#Nkw3h)r&PS+BS|EF3))54Q?>B$0U`n~p4{#ov;LCre3pjm%r7rDMM_2Iot^gnr` zWwq^7k%wsx@L(m{&wh~-uRarV)4b4^&B9=`*0e>|39;5B-9D$C!#srE25(=o z1Z$nU6C7}%DISkC>jDlt z+mf~3nK$jZNuHr>m<$tJEiDg5`)V3aZfB?dxxBHnd6c(|PuQyX?OrYKF=#fEP9EGCqEZV3MOi>xu2o8|Jms$JDj-fDpuo zWcB>IzbRz#lg^+54JGX)k0Irghv3Agk0H7Ur2`%ZeN@>?&zL!*Oep}%H-%i*dR%>t zz%%J}RF)8ZTz!hsX+&R_OMoVnW6qkr#s{RF=ZlM0>B?PRNQ+Ogj$b!$Ajs6BxTIu0 zcs$k-CE!-Ji`=8}iuuZ;EH;=s7Q{}6v6MB%q>pNt&l?e=iol}z$Z{^!sD`QmOz0iA zt^i}{F04p^KMJ75gBNoxeA>}-7)Gbzr4GlLS6Eq>-{5ak~PsW$rEoq=q`=bH7mXH$A zS)MBIwE=1xpg@y9L@rYVo5kZ$ubMRx9;P@qr1WrI6Oux&$aEmZ5kDzlJV_A+3pbRx z=r|DdP|<97#l&d65?NrB7xOcU`hhI)phQD*HyGT_GUIW-gDVHTSTBzMFZ25W);(^q z$3>|d6deDru8Kk6d-?KIF%fiB{|zwbESMuML~DJq6E# zV6DwPFyd7OeEgc*-|AUdH}KHa+g=npLy9p&g zyDMOy)$>>VjWnGj&{^0~a5iPa`0jExPTvQKk7hjZW9`Iat#hn(p<^Y=@?s)ziYzv6 zaW;R2%@U41ugqbs9eaxAGPW^QvDOLJdR`w~@qy3QJ1U9WF9u(`seB(<$u0_Nq#xNu z%X(v)hMRuD!lOI-Y{&MwsQ?dJh14XX^+g5&@Y!@x@QV^FBfPkepDFkbNpTk>)j+$f zhd+H^k4?X}kAV-i_#->WgwNL7v7N-1Ff;REw;5~A+s)s@U5cCcYUAiKi)DT4@LV`Noz$1cS z`hYIriC0p`4-mO@25tX8yuA%@UB`9jdG3Apffpb|Ux1JdDU#g)A?8V@?MG5}Y+6Yg zAWTCvGkIb-4(;(|A7z=58Bdi`;Y=x8-c197DTtOGNKwsDNp|u?(KECs$bYPwS^tKvZ|~z#qlINu3c}mzklENKu{vy<9zJ_-o1UhPoF-gzfPaN zeY(G=yQ)G|vQGDr1RVKrk1)X? zhm6+vh!ylW+JvNAZNyK`+Owc!L|qf=n4)$tWu<8J(NH*;bYcnWxi8Eyz+qQBC1aVd zG>I%(punsn2MAzAy4VEnj#5PDCYSk^iy=|A4LF^^Lo8bOLr50_H}AQeP5t^INO&q{ zmTC-_@+;A-ld1U(?*@HB!>m20j2ICsV~p$FdQv!M@f-k1LY?r6MFI>YEa-*$aDxE1 z)x|#OLfzOStSU3}1GAEho6s<>!%tnICu)^bAD zv)?-c7MRC~ZAM*M@*;crh!oWO1Nr46UU)1{nOSy3nGj)#l4BaG^%U+B|n5Z2mq0-i@ z$=U$UOt&i+o&|Y_YQbyAE@U8DDL+fv8%fFMdJrO4WbHVA z@1uN!Ms(E_oJ)eo=|5ZifIHE4EKvART27#-vNilUqr$H9kc?*H8 zm3-kWH)(Dq5V+k)M#hc{+#ZOXGkPGhH{P4bEpWPsc+O>B4$|^ld28RY5*GgYIc*6kc?gj*!5It7HBeJADiP?F~1v0&Lt@VUlt0Bzk}Medac z@yi#@g!?D%2a2~&?ey`t(f5Q4SD(ZN5^ z3k+ZkjBBV#oKcz6=|HH-?@8O z-pPrCyT3KO=rt4mwMWuI@2#b+yGYczODRhE6cW>^OIu@G^HTjbk5~jSO69$EP=Mx% zM#3{s#??ZhO{m|yqpCr;H-~0!CrE?(Vrxrmja8fff0o<#>`n7TDL_sY`vpEOD&zR# zmD$ebw0a?9#_R+S%`<7e{8hSqMO6`GUmr<3Pn=+fl;=rv&#sU*|0(3r*6I9XWv`Gz z*fztmhC^)n@#(3{DcU+6{ctkhK59uyQJi$iNT&u>bhCK0(bL26B`=)X<>85+SV}wc z?guwlU3G=>x8CeX7J}dHf_!$G#<=t)FRgUj%KMYqn36&_gUg{8a-VhVr>mz1eqP@} zSVy+YJ7?J#yI>MS8_-{B7WK(nY`Pn*&0U2k@Ly)0_X1fI^t2p5E2_G<703xzmI;lu z=@RG;cSp+=`$Jmg*9Igy69_KIrx=Z`dRI48IWYNn_hIu}Ta7cCg0Yj*Q|@8J#iV#o z<-}*K060ce5fot^yj()j;2`Lq-YLIZ4ipFh?~^6=r1=0pm8$Q9VLDihZmtqkHml-OelfnoUCncgRsnUB!sr2u zOw&bb2}F|A;fp@k)GQOz=oZayWC33dmx$_dYXP2_(=TzMQo1~B3TQq@pnidY88_%; zilgbFmx-8bpP2j-)}ihiD3@S>TL0OHCccFDRkUE!-io~M|yv;?VwI?2vp zTv?lFm*ss6!w7&Se^S(O(q)Q!x}cPlVKFb|R%OT=(bex#WDANi!%#saNaI4XqER3U z)+fOn4*hoC$%Q17M4GiPgeR+Hg%|imaU2f7iADrc)grn0%-4rdcF`Xkw6&&1Te7Y3 z^k-#TJ85e_oh&{8T3luJuT|;-Y3uTH(aG0~D!oBaPuoH%{LN{GW_80KzmMv@AFl^l z0Kq~ih03FLhAZc?)F^jgI zNuk~Y+13uF99Nl11h1L!`KJ@}WTDi!Z0r1U!=uA2!i(D4Ycr@Xs~w=Nbyj76C^8>& z`{nPXEBSLbyKMJ2g1yRn>kcQmTQ+U8ijTH#U4F*W(0uFQd}N6SP31Fm35vRdT8y?{ z3gw3^k+x>@$;7^O*OLKl?aNo_Ol4b-e^ST*h2FER-O3ljcvo8wuM~W4G>6=`?#$UN zYthOt*U|^+DDL_f&yXiiT$~CI{-0aM{NDX8D$R=8CRbQjm(L~a9KQ3!j;g+HLap*_@cMh`Act z+8RG@u8i9H5XUu0Tfc^==MKPy@?XhrTSh5A7_`_RM=9qMtTJ0{ADy15FQLX~{MHU@ zV!~YQw9?D$^f5bf#S-?8#>ku4zoC&U{CY5NnMyA$??9?2sm}LonKJ*C%+W=J1=p4F zrNf?FwLILNoVXs9Yy3KMYzcO+U(p$q6ZX@Ev(L0OxB&hYR!3R0d+ePaZM|SJ*qI3* zI%ktZkqw>*0my?OpLI~JZG_m>J7ti-^GlcY#Loo_4kzD%#B0CHoK^gotFUawdXP_*s-8B3#TY=!%aH;kM3(-HUy-EhxMOY50IqnU z>f7bl2bzSTXiIF5SYgG*VUOoO-Vmv$t@FYqc#Bcp1uWN1#1^{3dxryUU3XEGya?Ds zc|CZ%8@$fuCZB?oK;_J|q}_^`1oVSAM3AtEC7l$~Hu_QIsEs_M!{#wG9bGCT=?!Hf zjO&83EoraPi@g=@pvgIuPQ_D4fZRfev1nL1-3X~5@_g#$>_MFz_%yw~t6sKH|H4JI z*0CY`HykFX&N1@Wj`Gp=7Z^*9Ikr!Ol=%xiGMnC4d{q11%<)5RDtL6ztR1A0GR}B( z9D%-?VZhZF?vG>Qh!T2;uIJcL5JzJpJxN#Mva3^ZK5Fam0A>D)s@&UB8$wTQ)oZv} z%&P~?Nn6k8%=W6n@ZLJ-=s~^(go-3R1csS_o(HPYKGGyxeIDjc?>+HCO+)OLazVob2oTpEx539D?T$`$F>jabt z&em;fn|cYa?o7COv~@8vUM)W(7p5N{9*$)q+d4FsZ!XXt+1&B z78_~>t^v!*#`0m9jz*TUnty6_xHL)pCC#Z|&cE32hCLfslex{Cj5?S5WrZ^QmhhB^`*s>$~0;UU^@% zt-X7A_B!j#BjU!3Pwcs}D4I{DXzM-ZKDfn&X@*W)zeQ6Y{R~5s=ONE_AZhbAoDZ)y z;(KdEc9F{B7d%RN-X3UvT1uY5+~{+*(*9;3!@XW;V_PHaN4sq$t}<&n$9hF)BdjvJ zA&@2Mi;r?Z-IKUZzBP0HEA)l9+io%Id~=$!=Uqt+0)E6=i_y{dk~-tFzM^do+ZxQt zTYRqFq;n<#Ml>#shRthCB>1hyah3f@;i)r%N-$Y+k>L)#O~I!H!R@XVi|&wfe%eStDiJIL)q zg(h*fn8Pzdiw)+xfKSxcjh=_@o}cuIdi_q|2Q8jpr0t79KzvC^V9p{{F!1p_g%z;o z@oAJe27rUd2-O{_d8%HgP87^j!+~;BuvikH<|fE0CoeK+iH;@>9f(>0_WXyBvaK)`7vmFuKp|Ih`lL=zthSav*6F0pGsh zY`!N(TJI+8sY)@@kty9$zOn3b)I^LMfY2OD+O9>^%qwc@z1FV@)LY1i3kd7;kP_^b zk*T*HELvEaVMA-T&i>7IG+Q7Tbd0IOZB=yQ#H)DCS#j?0p%12Jbh+nwGjMQ5SgEX5 zoun-@xf-Tver*zwW*B2gaJO~a3iANM?+dP&?lSB;a0G70X1UHNmiiibq0t*~8KD97 zkaTmFDH{@9dVmnLHSevHj%;hqg!8gFE*6j4nq{}d$g)Mvgrlu<&R#XA{U3G0<{;RQ z6wb;YOfqTfwEUoBvkgn_Ct=i2)GjBkvaOYcodrtf2B6Z`=UIIlBrJ~kJgIxiRUf(Y|T!bqF+Lf8Ox+?@%)y$4>$(G zA%@ZA%5-MiVNy%TR!dB)`FD_U?4o6VJd#w}TIObVCfxUkG7}y~HQ~({T-KKF+5Kat zbCL_bsV1OcCfpW2U&}O!%8`dj<_;}d>Q8{WcZcUX7~0Rhhf4KVNjxsOJoJGqY3_xW zckYVa%$ZxgmCS^{OpP#i;x21c>ZC7Sru_+T2C9%|!soYG()?nQO)b8qu;I@vQ(q2p z3i9YezWSKWT)WDQED788xAK$uh--eQdTXRrX1;x<9%$C1CwWWT;Q)n%BN<`Svt1O2 zDK+Wb!?9aK!oC-s<-W{Sf$2jDx_@j%^Q?)r?y)hy+b8zwSmMaZ&Nuly{H3Z@*Ta`9 z8)p#JI$xn==AeSMPSDncb+BgYBNI~K$R(2+ZJjWD#?rMJ8Y=JNR}nQ& z{U((gffsUn7_k7Fho_!L{?=p0;Xso|)uGtCfe=GI*KJCKUcU(3DHJmY5pFdCqPDJ? z%bumQ%E%9>c_6r=)+MLsO~8pUetBz57`R%xlgGMw61=*_LD|b21@(iVzJ;`lsAzF` z&#*@vUxi4SkeE0qZav70lhb&$JUOw%1425YI;qUa&K3OGNF-Zs|;XGD_yqmLI7)saYeq(N-eLr#-~SU)BD3lYAfpTLx zIw0vA3@&aE?>`1jmGeIfmWWMWyctw0#W7W>3o)80q`|9Lo7v(xP#d5-qulop7X^dU3Ye<)UI* z@-E|Zzj8%ZjXp*n2u+MZN3C!q&*_`s=e@#D#p)`h8)Qtt8OtlDf?R{3u(^3~d`48V zDx5Y-V#GotKR@3Fv9BwpzwGWQ&-&PRS$Nv$|Qz#s@BC77>nmM+PM z{1r^|TU}X3c{%h|l8^|g_1GZrC4THg$g&tRdX1P(hNC*_7ISM40s5Md_sX&Q7e#TI zN!u6jOpfE*soBy7QutgK+>zowJ7ldI>pBx}_G@UEeVH^VxoUSM?81@0rkFh0w~@J~ zhyE}w0muDk9D_;(qTlB2CR>8)Eo`}$DhPk;Q@?1bP@!~*Y6j7R;d$TZ#=kdgPctF7 zJbx>bIL7|+VC{j23!g9j%eeFQDR_O3f{}&8`k|_+cWG^QyI9WC1Yh~#rf>cX$-i_P zYq6UQeZl7!j!(Muy_fVlo7dp^&)HpS0)xPn4J*c%PkL)U1uo`aV}$v^1!h=196RwR zW3sXtzech;;-&|Cc2O6OkwLGyxPGHO^`2n)*B8z4yn1Xp+Asyt_IO|lmn z#SEYLk{(tQ?R+4(=Fji}%^z&D;?Oy>7eBULrkV_XfS&Z3`?2gti~M0q#}0qgMwbRs zB)9bWd*jl(SoQS`y~fF$ZI~&Qr*5NKk3P!6s{=IlOQ}{*<@w5;9N@icu}8LLuQ6TZ zA6sd&t3;xHmJRRd(@za2jHfskfVRN2!R{kZS1~%BNvb;Jo0F`i@Vm!m@5`!?e%GDl zZAD2IlJmo$A1N3p?oUcZA^+3Uu+#dEiEB&GAIw`fKr*Cdm^1q_x%M#q(bBOzi2GUA zOlj-v^ZDdXUk}^1&)CUEsJGee(LS6IbS(rPuc$2x$f0OkH@NEu7o(}iVUC~g#fJGC zJN|dbyw4#T#)tudnS2PEyUgYD*xNK}okSW3)uhB;sl5au_H{2MzFz4s1u-k=Fbqo_ zj=(QMyL)fRj|rE|cRfgagDA-S_($bcre+;*d^zh))9|o4FmCdMs{dPnwe}F)l5pKEOUR-X1d@gd4T>_^*3prO+Qj zTYv01e9?wl?Hg+?kA2~j-<(e~|M~YW*`DJx>z}y^J=*jQPb4}uw6$jwdvYM5>OcN5 zMuY_P1u9-zqOEQ8xg?3&TCY|SQEy@6fdz3iA#JVIQ?2$FQI+e0Lwe64DP@>{4R3u{ zGrf=O`1;gbNQzmV;=@6>`L<8*nhF%TWoK?p`DP4s<|DKB@@-C)cl~&r?zrYZq5S?} z8y_~jo-{5#|L*zwe(3aG`vFy?i1JEtjc%ex~o~jEc^B>9+ zbRP>9l!U5y=V-yg?ruZM>MuU47xD5-hvGfo_L8k0LSw*TL5?D}CW>@`)( z9;oG)YTc`pKYJ|&FL47uyKUm^-Q1kq>UY~J7=fo7S&R-Y(V>Sv0DbQWj}{);SQfRE z?z{oPrNo^^@F`KoJOaf=XT;ASAc8kX%#`R6g8DGgfv#TTS`=j(cSi#B&=hDrj#AR= zeK8uXd(m)YfC2(pW3xiXCpM6R&Nv*zeGM@Mz6lyi!|YYknoaCLXjT}3+lnRa_*77A z9TKv1G?38PK2EV4g7LcZ3BtD=yYo)X~N(to?f020@uVekS=nsKrp08CITrh zhoAsEQt2IsZZ;p}}9$SxIU4 zV_QqR_lF!FNIZv9Y3f>>W#k~z;01W5v%NzUhY<05>+CAQ=qy?kWGLtmfV#iB;SVaO)_y9;!maxc*9;Mb%yk`3^roA|I9Y>LeVcL(w z52lM^sTbJqE}9aNs_2B5F2bLs=oMo>K*5nEY@bN0!S|{kqwhnv(!;74n z*`sLdoAc})1XQ*)D=^7ljO~T(d`1CXv~_T+LvgmMBDC4n1>QB?hgSKxv-E4E8lH}* zxSXom1>TQ3tY6hNdb)2<$I!u-CMYbrw6v?OF(Mq@cVca{b&0mN3B9OATU&y*R-W`A zuBDX;E!#RCtrsS0p}@9wWR(Ic+xqNWRw_>W8@v{IjvSNidih-3Wl{cp;E1^w=jpIV z-yJ49du!+IxnFa!ln%|NHP?I~J$}Yp^A&1rlDj$hn#}EwHjrEcdVlym~%bb znw7(Z@D?_5tdDrm)?TZpT<43!VWZen58Ou!Ii9iyR4YVnJ@p<&cwbMFg?gHgec0Md zN5?sx>&u!!qE_grd1>qF?co)wkGSXue%4D{XNGN^9esi5-#m?GqyT8pMP?T}&rHqO z=+CK2Qu-I!$&Sj_A7DV*S~UelzY{z81oHj8+x*J-9S5+jYen3A5^Wtgyr|I1VP2P# zW(7q-aZPX@9q;=>s0XxliFE0yF~kYM-4x6|#q-H6z8m9h+-plu$G3;9_3?D&gJAkj zv~_8#AN6^QZR=_uiIy6biP9k9>~!K1+LxX!S>3*>);|!?)>G0h($=)dV^bHChsJEU zJ;`^o`z(FOGa(w9MdW$7@Qx*=kW-?l(y6*LF z9H!QV4Z`gU-u`YCj)Yx^+{(Q;tBq#UlrM3DMh+c{KfQ`4luf}0DhnlY6+z2BspL@i zG*|1<3DV-&3vL}#<9s~{HP%+oy&fr50Wm*Q^Iw}K{AP#fs#Z^{VtWfQt8n&#WjjkK z_+A6f>5{pznA*ciaVyp$umt}~C{Gzld-?PQnzi_d{5pvq_&E(Z9S(u3_`{XP=c2kr zH=*2>CDPW_(1hg*E|^_Zv4h&4Rj9EAvZzZNmMn|x&<{jK8>th}2b8Z>LfnF0OGOVu z$S$;)NQurCjmH%_BXuxL*ixB_|15}lLxtht^dLldiHXj|$WHCEQ5w@W%GZL@DC(Ae zQG7HkFf0egZ1p3$Z-nAmo>owark+H?wAX9;M!b#gvg1X!WZc9?e^Fa=YMXrc`6Rs? zI}z79Fc$Lb51Tm1qZNXnJFme;%%uNaquovwHwz7OcDh=H^q}zKucDSQuDX(XVN^5W zWR+&ZO*7#mkf3vOTAgTRb*wC9#ne-&Y&))ziqTl0EXzqfsBT)a|e(U&ON${$m zQ$j)7x_lH5jV4YbQKJ{U$-RV+RD6>2LE754^&0zQLo3qO9&K&1t+mx=y7&N@@epMb zKBQ7Yz=SIw$F#{Uk8Ryz>5a*@Uct6@*(c1eJxWLOqlsPqZe2y=ti86~9H>!SmwZP9 zj|1VeY>>e>K<`@gfAM-Px6i(r-fitq{fDW$ zURiyIM*cQ+WZBzzo}PbZim8FmkSf_ahqm@z);6aM`*SlK0a*O(TV|6(*w*tWItcW3 z3#^&yZpL{4YL}=BT2>`(?cYQW6GHEJwD4SEW>8a2AwqqVux>?%f$WPiY%k?Zi zd2(r*HE5Jbg0@Zu>DIN(#Sa{Y$7TzAO>KkWh;m(3?ViM%cDkOct>ol{A6nf`md4ON zmo2t13!iu8vvqowA>RteDEN|J`{oGsPZ*(X z&^MS<5e`k}+!BuyP(}7m7k+>+;w{8`pUb%_@&#^V${|=&`>N{NT#`bGLL(n!TYLO5 zz6L-^U2#1e@+v}{CXj0$icN%c_wyiO+t3f(uR_H=r#HzV`8~g7QOd3vu6JU9IRYfH zzTRk2hi(80_iYDS;K%55j)*RAL&6ty9f_0iYl#Me@?(i+Z61$7;Pg2Z5L!-I805}E zCjLB5YxYE8;gFz!_y*7u7y+f9rC_AWvfsjQD3K*i0nniv0kW+_%ooy2vEzK@vw_z2 zotZY!3bYcN@fp=HRyC3pV}U zCf!_@uZqwPq6L08G5t&`k(|@?05S{$waUPONnJc|HY=z9Q;}xNlM;^OOmLudVo~ut z61Y&F)!YC|IrA^@oocd{1liUFwspI3e9Pv@w)e490|82bwXe<{r?i5!^)x+DQ*`=5 z);OHDei7x*`ee4;tZ>Ob_a12RLavB2;pJ98VQ0^Y7`yl3E*E z5`kZow$^OG4E!-@9knO0t@Gl$D^~Mc<2O}!tFZ@^GO;V$Ix82^g1L5FA78XKgo?92 z%)}8dv`gA@hL6pmt;e5yfR&)-7G=d?SRAWoPD=3}<+S)3rE<0@E{=uYs+n+iWhyil z-%hpqnD%BL01mdIwjK&T)hEG*wnjtzLm zqqV8d{9~Oq@2w|wFmRrutzUQ~rM^XI>B{G7M7flXWN7Qjvbj8Or;^pF-bXKgHy(8n z->rM0I=i%LH=(T?f9Cl`6tYB^ekvXn08_NPkE)02s4Y(4Y6&ES+wc$pQOxQq3ETpIkrA^ z-?|H_$xu%mR9u2RY8PH*jROdCZXdng*OMY!jJnx}Z+vL+U<_a^(5%dGpS^gF3FfBa z^Xm^jxSiji{N7*73e~51q0^5Se7m$tE{A8PvLQIm=1=Xg;_Q24qw7!7W=7j?ADxY~ zb)5D;5$I&!&c4{l(wzzSvaN@UTXz=OnU6Rt556NL7OG}T^_U|GpI)lEnY_GUV>g|u zFVI0VK(upqt`aQMyti!Y4jWK(vdQ;4mDynMEd1+ywJ7b6ve=TRAs4H#>Kku&II4Dv z0NK`WLxG*2n_I7ln-UjCtcGi-MM}|U;TWAaqP*Nb!?<^!x5nfx9c*g~=47^__ttzm z#m}5BKqXVpM!1dj&p0>*!%MW=_D@lVbQfjsf76M7YT1YG+Ds$gtPh zLJ&<8?=X!1i4MXl`5K>eqA=W>% z67&iN@Bv4AIc|5efYYXR=~0`*y(EvmaMXT+Pv)cA=k;_}$wW8g96aSA_HLnRY4Q2Q zX~00xAP6oou|rX4PPEe2sDa9~Tj$RcLQV+dTJVxU#oOX9fMK_R6!>|fz@gKWZ9+g6 zBkrX5=NMxd2wGQ0zmE(@YdnJ2E0qSpKJnl|%Odw+nRrA{0T0(uF?h*7UQ z<&s17@m`bY=E5u?syW=!;iV0e3BH@53#5!?PI)3%94{c%B0MZKKWNKeAfQF>6B;d_~C_UGW>>$`Xh_X>lf8Rc2l`tF(0l_Hnwjw^WA1Pc@$k^5)DzNT*>v*t3ZGzxgHyyWxrt4<~pgqXCiwwh7UrVy6f|8Oy@ zV_OFnlevQ+CVQW=pg{tCTj!Z$*(w|t{*e*Z_k9$hl`s8ae8lYwwg@6qixdXh+QCy@-8Rr$!wRF?44}I+=zQrh|~ZW^{kv5{Uc^;kN&!*;^5+z?TMJw@H;!L3e8~S z(PP}tr{k*m1E9Dc+qym@p42h1%B&vLct_i8OI+81Xq9b^*U4VZ*S4ug#?JSS zmx*%}Q3#5gnfF|nA`$KY2&$)9VoQ$tXI$)I*wUCHf<6u~*pA?JeWBZ9MjvHF>|uz* zTV&+n7o|g6IUeOte#XtxGs8zsBdRn%hkH>THvq}2Vu(_F(F>c>b&h-6d9JpiJtLMA zmj!9-56i1BI6mkVf@mUs(vcfcJom^S{Mt$ng?B1%03;Abg%1%Ak&zBF^U4baaLrc< z(7bmwt-e4C4xeMOCd#`%_=(JdmEw`vP_B?=3OYpUsqHdnPHj`AO#ldkz**5&x+_8? zlFf)qi2`^pi%4mqttE-1t;o+SK&q9k|hs&Et!$WU<(6IwIjg{=`6Ef{Uz5RRE(idE&nee4) zhZ;}P0~0fPd?1uRP8O^&PcCh(ndX5A+;x+k{Z2EvQ|uHA zu7hpO4wt0*uhC>Eu&9apP*ad&h=Xm7w#K#|i(hc%H`(G<+q%2TtUA!S{Y4rSGvPh( zXzMh-o_>{He+V|*dxAN>;1VFLg}-DI3IZq-iX__Bpz2VTKIgpi`nFYZUJ@kLui4*!`(*Eay}J-O72O-dM6nMG|K-&-%DtfK{m;O%d%IC@pm}{r%X^YLWBUU`Kr;2d7noquTh(if) zX+-9Rk!29f&faCI{ZXE~))Oub3uNBJhm+V9QmyQCLSks^2FM3sjAomkEWV+o8?jj)sA~tja1ir*`FKc2N-YzRnSl zdY-r!$*0L+VR}b?wVJpFf%I?_rc^Y&-Gi*;Lj(v!C{p?s*L}>BsmgcYRcuA1X|7~d z7M~jOiehlr0(eBJfV&v41a}B#Fhp$)6(7WgLhfR?5A*6OnF)6{;S*6;i0wT@_7gG) zmOPVEbKJ!CsUcnt*Vt|K;R#AY84>TJt`V9Vp%Q3S`RP_y14G~o0 zs@{S>ef$E+=y)vS+b1_ZMS4@-uNdL5Vb$I)cBONte(OKu(8 zX*{f%Uj#=!WtGTyb2f*VkZA-iV%8}rkq;R>7#uW%H&G%p`KCOX%R<_!Xc66utq}rS z;DoEy0pCr5kuWbs5!@?kHN6m0Sb8vsKT2KvNeHj0nKYs}9xEud!mqolL=vuUJYhA3 zZ`f|)_P8SjJ`eL}HBh@gT|%4Reoz}^l(weIHbiZCAHRSVO~P%(TC+9fcauz9MUJu> zq$y-mfCFf@MN@lyR9ku=iZ;k#D1poyMM*(XvLyQdAN*HHi*o!g04bb_Qt(`y99A{| z6!&@((V<$yAh+_SqWvd=pC;mdy4Y*ttJAy@I3y&oHe9k1 zoT5QPPy}TF56e6_{a2_wWtC5CJ2?9QIp-D$hm6)&C!LgegqWRPv9d4%(P-+b4e(-d zM&A9vKSZb#MiQeUupUJ749NtM>+x45ONi&!EkOyf$`2c@7;-p#;=?j5%#ay@XJDe3 z(ha7YLY>S)n0E5O$JcNSf^iU)JDHCrl2_+5odrA-@kT&NVud<2XG>mRHVp(v2d=ql z=Y^U=4^hM(?@cX%KM!@c9LV3)OEITWVrPKYMQ}gq$xaJHFoqHo%0oO`n?D%d0P1PK zf$;?f{HjkM1fugw7wKiGK_Jl#0JMFHyc(ItR7CUawaF>yy5NGmBNxi8qk3XRrEXd$ z*G)%3g2q+V$P+J0?2)P7(Ry&Q7c|V4fO%GX1ik!9nlcKNQZUMZ{nI5t-j?nK_yT(% zFg-cKyQeI$RDxK4n){@qQ7}vrd(Y)5-%fs4lNJ<7CwJGj^y^b!h#MbQVOq$1T+Vkx z;GQX)IC{75z~B0IAk~*ir;{KgfvhOZ7E10Va;>|eugjKe1> z+}Eh;_YWD>~V!4sVuYtqCl($jCxI0)BPUU-G7yz?-b z#;J7y>cMG4VJcR+#YUI^B?kA2{Z^YI(g0|1s8Hc${yhce#dAZh!}M)1OxRS*g6DS4 z20k!eS}i_IR*r5D_TW)>EzPyWjy4b3-RUF9HM+ex)IJjvMs9N#rn|iWQw?>!J^YF0 zF5~T?MuWUDS-zIk^`44bS5wzndxD)fUMj}wGT_=@sdx(GQrDr3jOpLi*b=N@4#hFOssxXSmuR<27bI97kRc?aLSK^0AFnogRV=6uo>| z2Wr_@B(*x3*?46(GE_e1pU0;|S9abYJ_v|3<9bNDD~H!R>{Px~J~zLCO1K;K7; zj;22L{~-|M@u?bt?wf;*DVuU+?Xkp1v@G6ICWS+M4pwub%So5!W!VmdVp2%XW+LY8 zs&yXzxQc1ifF3Fo{K!*=;#YaqBC@~_j8J#n+f-ZzD`=&BJn*dGVw&4+M2+gO$jrBQ z@SY|rVPOmc7+JuC&B^x5mXcfPgw2E%qJ`xIZpNm|ibU!ijP&L?`;f(cquwd5dHpCi zkB-6JF%?_JPr@PziSR;+qAg+JS_o3Xc~t=lG>2!z60?YE;uqXHvWOfu@1Z(XkPYFz zVLfb9$AWCkxHUv-sa_V^uftb{L+^d2Y@LL|E3k2|Q2Id_vq{Y{r9GrOnXT4C)Ojr& zP#9xYp=cv}W~`(fZzxGsYkX9G9eBy4f-m4Pne4^BmA0NG=n;M^hsnRdmTOm1ueXOG z0kvqyJu29RWDti;E-u=i*?DQ}5Vj?Y`JYneo&A(Mi-hg=EW11fA5yt0qRvRTS`nk) z^)B$ssKVYE-kMC??^>}H?KDDBS?!%;Vf?$RS>``XJZ%R;7d+(Em*|CGH-k$n99cmw zeGM=CCm-KKQ3}Jm(dXQlA8u2r=>eJyafEU8aA0y3*Es zg_`!XwWVFUT3fs-5m&Y)X27Cu~M% z(W=DygDv#l7=4sNFwq*=4=D+;b>Rns~4l$@>S-e9_BZ>hbHU_Y~7 zAWMWgq4dD3=b)uVF#^8o<|0Z47ch(&6S5#L0vtYRWbaF0iFwC;0A#$>!2)tZqmNuw zE@#%I9r3%p*}Ott4-z+*2jvrUt{2SF-!m=XdKI6>mzBfnO$6p84P+Dy#sYdT$J zaNrk-IPPh}t5k?g@aynAFAbo8Hq?8lqYuev1Ru}6KPUy#<%9j?$s_OyD;X=MQ{RD{ zTa3H*`^!S2Y1%ZuR1f_iD3CTBb)eTn8Zyl-RBoPU7gPo*QVe(zBErg;Vt+onYs{a^-g|=^i7v|Ox{AM z?!xHeAKLLH0tFU_;oOoqT#?|Ay37$>y+a(Xg$lMKu>d?e$yEeivDfTV~}p zS2gfA6S~GHLtFbA=bts=ih<+n@_=d8_?Gtr5c!q&1Z3lI z998K0{lP0?RN(09GbtwleI$(I(;qT>)Htx$=@@yFn!~mZr35Eut#dr_?vF<@+1BmZ zsK9FGHrm!wag}XN$BfDkt*3^(u~mjm4Cha(QXl>Me89+kM7Fi`_sGIcG?SB{D?N&+ zKiot2_}ljkHfp zwUu;XTNB$_-UGq$c6)1*McbOFoO0_WQ<*(qY3u5x>p525Nn6{#nbrLAlz>xy~@Q%l1^h%K{zpqAcy$w4?dMDIxi$I!ZhVv9at&n$Y|^& zTL|9BY#E<;B0a(Vfy|?aibc~yB&x$bypY-%IQ8?rfOuDuPRRq_!#7E#)T-b+a$@BL zXTf|^o9R1fWoZD_>gum~jGyT&;(@m2Hc09+5tieR)=PUMVg<|4VzMjfDXqC`q_Jea zt0?5X8DvxtB!(CTtT#MjVy=trbceQdO=OM&hs}+AYD^O<413YZ0fw}of4U0rXvGx; zvs7}CVOQZ}IE$mk@ls6D#iXx>UF*`g6oMlazt;A>;A#Q)QS$hHyvF2B z)f@ns)5cNCd`I0o5V3FJVIGzp2V@Q7vvE9WBi9U~u1DQlaR&dzo)pembMbaz;^Plq zjlyYmG)?9R$*CcH^J)zgY~&A+cvd9bb75}-dxsZb}|x~|WTz-^-F zxnm33Beh`PE$UhLP&Q%5v(zp>Wj$?8aNt+ftPpF~6_zt5&KLRjGye%f=6G4HDf0F& zeK3^*%k%+|MbxhmjJ8fS-7-*Wx{%`NKTM;xW+pr?v2ibBTl=Bltv;Q^+H&#Z#p3w) zsI;=JJ@f}aCT)$P-9e8)p^Saf%0#(geeZ=$7aRhvxEMz9Ug|-GRt7HQk7RDQdmfx99&iv(G=kVwYfrgbGu6cbD+(Oa&be>%=;=n`N zdXky&xGeZ71dRvbj{d+5GvTHLuYqdq&m$I?ISiGqBYaK0AN7VQ^0Ho{t>x2VhnLpU zu@r`D{uX}?nd>|ZWwo&*i3?$i-81x(FX%JEyx%?bu(E5UIxt7koVDMTw?jj1Z~#0^ z=Qug~D`3fjzQS*EXCof1H~Kso0;38EBfmUG(_{pb?z6ISIf?HL333cjxyABgb+(*J zRO@-2pNa-yh70~wk%K~uc)fsYb#OU@!Ch_`8UJ>>NtZPz%tnIwtBLqB$;Cu;+F49f%I5PaIagKnOLSSG=D3$Lxn zFcy~*JKXM0$a`gO4~W|rxB3Y(y>6vZ&A`t@EZ&Pl)3! zsE2vr-%o*{A)^;&gdqkk_sK=DIXMPH9;G9@Mr{Y!q>@}#tRJ94bz?{NJr6UW^@rdc z2n&%^5Em2->bT0hH%jgO92=errAjH_w6`G9Bizf+kx@TY1*G%<6{O46J)jz|Q2($4 z!w}k9@2%-Zdf8ebZFZfuzaZ#xYAd|AuAqY^Vex)o;)3*nd!0pXZSTH;Vb9DG1_9c- z%Jh5F^-qFBEDAca3Hzz43a2FNH4~mP&y74~6OI zBj3#-1hjQ{SY=Gq?kB-?w9uS&`YLx3<;75DPG`gV?t5z*MTjKt;p!@3El#JKI(@wl zrU(!=a8O+g2-4QBGQ)2Q>nn4?8UUycJ9S5}GkX(zkrVXFj0o@Ly|vykP4Rs;kqQF0GF$8I zAgY=U(kXW^l!+5yr&C5iEEA!XV{i&mzZ^%#9|1(Xq|1g?kobubzRg3=Lqeo*K5 zDbR{AUxMNI2`% zOv(d+gI%639InKEKA-b+otJs&5335^YpXNZ)|HIM<3YGFM?+ffCpgfHUzxMo+Eh_T zTer4GjZx8!y?*xJ7oK-8SxB6hip$#ss+X50nZA-pT?HHYJ_BTZ^?K(^j3?37Slhj9 z1$Q$*%5bY^Bk&pC^P{bGOgKxRQ3pd7&eW6fW2o_zM_YZ}*)pr%2E(oSVQFidUwhP& znlJQ8uoPHwkx^qDcm&=P#t)3kN0y>(omaLsv@>eemAcCpe=)aRpP9FZ{-!qLegcD8 zm07U(7KSDSV7aFROpQMJ8*iDmg`4Vj@I*FI`xkEy8@l)^%Jr_^KL@9*OANWk|_~BZTPyW9vA(U@j=963BX#P!dU-;6Gv-#X- z5@^_^-|c`<=qKs zMA6zf0xpInSDQ6X$IpT;>j8B3S%^a5i$frtIRHG8U>n8MTn|@{VScS*9tI^t7rc4@ z_1;6D`&cjG>U(df`vvpr5mIzlEK+M%@f|4u%F13OFOSF(iYb8YHN8DkMT-GqhyX=N zd~X~PyU+9F!;L{=uIWH!^i)AhH1Xt5)uHtAx0Ry2(gID5r^NTd8w|-vPCsE+I zSQL$lq*$l&gn0D?dOFpCt=ekWwvL*BTAO0 zNk>J7V8}&ARiD>JA4|A3sHuL+5lyu#R+>(024x{l3SgnuH;cuYbJ=uDbZz@yqr zL)zNV*5jIto_0dq0O9}opr;2D&kUT6oM0iji9Ioi6A!bFs7p|k&g`t!HW{-)pHo8=#MDcYKG z#i$L#MYOGFlq%J*{ik@S6jU2aem4TaD{+otimKS2qTIAUWx?mdZc3uS@q#wYDxV)W z*R!o-8uYB}0p;x0e^lfzUwG8~N!G-k{e(M;w(cnHTaSl{uU&XF_)~XecJ>of-hKTm zMSJ0<)cF%MpTj%+T-9)d+=V0GzwaCW_VPdYQ14myo!eK2&-~aB$1ODNqVnmUy`xVC zIIb7&*2&#N@x#~sjEKhX!nRJ{L~|pp=w@2??4xdB^|lPd86nRNO|9}(uDLI9a>~op zWPgf6-^2U`GvS47>+HdAdZM}*J$zw~*?7v1D#t=zYt={hE7WuuJxNg#Q1Bu6+O4Ct zVA-i8%r-~U`@^yEmW|fZiw?YN;GA9D_uD`Dfmm6(0TbW8&9JR)!d~)TejeM}BebAk zTC}P#UE7+Ik_JMNWTRUxX&?2S`aaK_s-cjV&G2xYGb*gJgN@>u!?4%r<|5I3<4;WZZVYe%R8NrZ6{};yzXty8$)$hyp|}4@SEg=|bbbY1 zw-^YGWHJ+}9Ckv$e~Q-5%W+Zf57AzBQy{6+%*3#!BO>tNJuY!ht!c)Zqt_1BlUkvv z5>Zg#7iDo#kHxq3n%jv1LzIUzP*eG=2os-*f9~sW`RDh(`&+;IH~(PWqjKzS>W;J} zByx{i9qNRQ-zR^o`DzgSfZU=x02WhnyE82;XllIUJbXq`kT!Jilqimttv5H8_&lvec0$$b~AMukuXIAt47WrudE?i7csyd$%+3i0aov;W6u z@BHLrbK9@_5T_WU01~`T+f6YbjCeJCXRu0usLb$-aIojt><-Tu1I-vKsmGZOwpKzg z>^fyGV=FiY%XN#d8os%8dKl4}Ddc*@cBD)@l|!+FE?H)I(DB(nPO!j!OJ{sX}X%4RDk!9R$b- zpE=sPF^fLsy|sTU+WNBiyA5rfi(_ePTiabMqOBY2+8V!Wv~_~Ej!IcaJOGKb^|VAo z_YFx~$DOaFtz}y`-J1zl#f^Zr_S#?B zUTz}6Nt(u41lCMAWmOOmeqRBnk{ICKm+of)%uS%3aF!n4(W^i`a@_yAeaAokg^!Gt9A$;lg%L|EVVk4lf^BJ^tFJ8Yp8=KVFZR`&0T`910 z2fW?6k4E&R`>lBS0v*UH_DJ8ei1THdxn-mX7VWWON6a`$pb={*m9>|DCUVdxOeNChtC+vL@V7 zLcuwLQl#YHM!z#olK{qv*}uD;QX{TIE>3 zmRG*=cOG*eId!f7fvFw>5>-M`g+#4Gm*aYY>M?H!3dFHhX<&&GK~<>LOEuv^DC;mI z+>BfmcwLmZk_-GIt|L1XkAU_y0TGq4PY8rYRNb$EE3bd(pB=yOd5(R#1}e$$)N-%v zC}EwL?dFxbp%%TAZc7|3%cnoA#l)k;&>V%P^NJy2;`jo;EF(1uYvM&Ha!2sg2s=nx zEy^;?;Wq(Jr&}N+DLP=BfN`*>4jc$W>=sxI2lzlq3A_pZ`L}=c)!VN&Yli+H253T< zZyW3(Z>h)4%BrBqK4oO3(b%L*6mUbkaOiX%_!I$E!iIxR0@28y7k~Ip1d2^LQ6u;& zN7RpCUIz1st3DwJ2m`j5f(-185TOObA!XJfGRuIV=Oe5)!0W&8)xZ4bcf7v6=Uv7f zxXF2M=Hw|Ra}tfTa6iaXM@6AD8^LoO1P*pIc#MK;lvr))5jjS1Pf_tYC8YFU6J=H< zo3yV|y`5?BHNF4ZVm}&pl_)h}*v0?=ShKY)-GJ{U1Z=~{hVZF2pol(KNmVXySDyLX zSMLqI21Z^Rh@s@L;&$@AVUjePgr!FPmJfiT}AIgM&f}DJqS5 zJ&-LptM8mr94lO0OpE#-=Xe0ts{I!fS9!W#qyg>yewNG}RmMPa z7zMV}tT3-$Y1TjbKiq4yqHEwx&;(!>s&{Ki;xcII9}bG8j1jpVQv@;nCYlO(BNX~_-r*g8G?90mlS)>tJu*+vo0I2zrL|qhqx>}hWa=U@67lISmSptI{s5H zR3Y;^G|6BSM6;4K*e zoXwYDXH$9&EFA4-xH1B2CLfi}HIUiszxaOI+BKd-E0^s)-d?}f^WDcT0Js|vSmg86 zw0zVvB_cjEeW)`C0u_SwMLGfygOK*iOGcT0b@&spvqG1pYYU6R=yK#5}ElI3KCKhk1Kg%EDQ#FgILj)~)ZqzM)xLJM7LZ z93UU>xdgZ5Q_6&)`*bx~vvm9>bJJI9K^qDus_FhsIk|y+WvGGi1ifToSxD4ybm4? z3Se5{jyXH?nmyY&N5*ij&zpf}{W?3j7P+v#O-uZ=Wnyy%_W&4PEHAiO!8|hUzZ*TcS;XkH1G8Ql{xZVS#gj6)?33aKRS6psQ8p^?$Yts z0?j(SeoeEEQ6pzP;i9w-E};`C?6K+MJcnw)J5rPU0C?Y%vtVeLqzrR`hZ#gE9F87; z>&XU9^>vh57mCECcx}(TopE%ec5`8;as^A!+{O;JxD$}onA3V3pY({g!l$XtQs}`E zdM&6~GvO9$*Ldr_Fp9b!;$fXI=F(MC2$_%Pn?!BhCI&chQ|fCXU*{o9slY=)V%Ecm zOwlYP9Xb#7o!_|^7nnB~ zaCBz`QW#1jHb8I$Qk3;Ll(Z71NDT}`f)edOH02#?U8*;u5k<+0myRb6z0pby3^asj zT81b_J6fwYk2GyliM=)ch~rJUTyI2uP+Row&`UdXDj#s!6UU3G4r!|d`7D$yFrahHc;T>NBbN~83ZA0a48EnvnGB7q zuxl-b6}7f5(bfwY+FAz+H__G>KjX8uXCpIfZEaF<@f2Q7GICUghj>A`075UYhB*7! z)z*;|g&CmO3^eP`re@7+>;L`mq)A&hpC%He32kjH)uVEFoV*WcYs=BrZ295LFRFfj zP>^aev?Xob=+5gZu}B;@8l8I`_rmz;pdOuMU`Mf4JwXGjLqt9qv>kr zFaUzJLJ*o-bQBLKUpXIMBn##r%JI3fE2FYqx=iRz&HALxx|Kg+oc!$AQt8F{)?+Ni z@#{$-a+ca?9TCd=jWQAG_K-7F*qd|kad@UVX>+GNUnM5&XRghMyAn@7P3|1Jrm!#G z8{EQ!xvN<*K%8p(JsdlATWHKo9GQOSh34d`9&MdP#Kmm>Cof2gwvLCwp1yr$Y+|H1 z+d03DeA_ka*+7i{ib}qCb5J>Qg2ui{iEwbF(TUG!>rPIB<=NentA}l&vA4)N=d%aw z?9Wq>Lt&DQP|s##`zFU)6Z8|e%{9>0bF4PFBX+tBlhprZ-@9p|hbvtnO@YhH>g<6| zk2`jI8?Cv$38jav%^EKjIm`(s2=UHsI{N9|{|H&&r^pFEoILv6eTAczM4cR~9ObH& zlq9+^%3pDJ@_RbDc?3i{DMwA_aZi)$f@$4)5n>R1G#wk}^sq}Pjp`Q#ifxu?{gmW- zAo7oVBVyS6V2;6>PGoe}9Dal2DXt)%4u?3Zx&*HWNCcWH*#LTE;&!>$>!rC5Ifa~Z z-6WLDJ+lDl=7O`8yhxSUkWN{GbVVKiZa^b|Y?bZ8?{SbHz(5eMlw^KYMlG%=v3~o) zBDImo#q+{~jPxl|pH)0N^GUt}{ipp#X*?MDsV-Yg{zRsJn2x3B5R`4`f#A5fNTCoT zxSKBE%YvTAScq^w2R*r#9{Su}#7)j9x&pR1lwKv7W^E%gbs;#Oqc7 zTdL>h709RG;6ACOXqq5Le3*}gDm5|9kN{uds%>iwW_K@IFxN+LR2@O+Bk+!eKq?i} z;I+1P`>?I$<3u$aM(Lw}A7)%`j?kII8*M%0S(e3d$=WwXpMEzoM<47h zq^+-!^-FIxFKu0atjB6?t=&`3&K(GO&+-4=6B}h)H*0Op`ILE!SY=xmAadE((dgF6 zR|U59IJUKy!d!u@KTy7_pP64N(AML(km@D!Dg5w1E!_Qt%gS;{Wqre?eb!jIj#~dQ zs%3wM$Yoj7)>GPOByFudQw2+_UZaT-Bra>)TH1Q8bsqzOp5y=B(Yw4odg5Td#(9jK z=`R)RrAGLZX=h_U zEs@PxP8iBb6)?6Zv~>UxhiMe#0C^8@C?Q1ejXyttZA^7x-@dB&e-#NcG4`@TnKS!jk zH#rmO25}we%3t64LN<-IcC1%NtgmU>HX4$f^TU)Aq}V5qes^tg4L6WoXNMc3?dyw0 zKKhakkL{aqt>XpSdbvPbPq)LTofW5PQXTCLc-@Amib)kpol@ZP@8L!R%pr*tEoMBo zZ~{b^v~d-rLScpvhDB>-D)PmeR6|e%$4UxXPSep^E=F(2lPT!~pz$A5Vd6}a$+l)1 zw{-ma?nn`?zLsra+$M57N(RIUhWVM{nv<_$^IVJ+;!wo#(*P0E=J{n701Cv?rdltb z!9d~wJ|?WY(gb*2PJ-hQG>uoXm9MmCzaXkp+Rw!P5n3ZXhBr`3$C;v~?T5 zRUx{dXj2LTCN$;?Op1V~vV(c=!t*P{du5i>Cs?*n`EWE<*+~@wpZ-9Fgz|XGfr=Df z0wf4yN^y&;&=bG?p~Xx*nxGRzR)p?gO0}e%TjU;)m@}yJ5X_lkb@3mEfcH)q-h>s1 zig8rjJlfiok^wtKcy@E06+&vpg=UMhLH+`^v(Rxr))S4bI3xrI^= zvaO{BnX=O;C#rEy>Osw;uA(tpo>OGMM+I14qFQ!4MLc%`GJ28~mE(M@hthfX2AL{T z2;N1Xjy7`eX}$aszsW25Z+r72f%fuxG$+qJN{$Wm=a-6zBX+HjP4TMk)bB||>DfJQht?vrhODT_1Vv22+M zFB>HzU0Cqhdedj?dHK~5{UD}`RN>AYR-moZ4(Fv`u(7xiio{A=cW1(-tphCA>~*T1 z6&xK4n9#QJ_}GxXN9PV;v&PTCXB0cOwKmzhr2F1zqfJhgE_9+)uKGW9TeD`<|F`w# zy=D0Nw2h#xJ1uCvD$=!F6CoHe#U?cu5!Y?1?RaeiZlb(Xv+MRn!lJE<`yo2OIhwP~ zgd21B7EYaGQQ_%%KCQ=Q0i7n@`B}x8pz-3Wo%eTO+VN$1z8-6{7tUk&tusy}7yX`< zQi$foTV{Xk!g-r+w~o`cFPZ0H4Tk;AY;dw4P!Ey0_2OBQQ)%m1m^Z`WH%YKKMUYfQ zXDId?mDdhGBldX6-iFC=_Nq~|^_$bad+vlBfMuq0=&TrjZvpL$%VD zVO_2%#akdQZK(LP$kEb~4q3C7iOg&X!R1-EF04(GXQ@1Ge0U6yZ^IH~50u3M{32OV zd283OEKXIVh7w#O{6KCMhx(^jycdxqFS)SVE>n?oH<%RkBaLpwt^-AFJyV&`@q2Vh zTU#C}x(MpOmu}Y1T+?S6@^yA4<1>0DQEo8Y>Y8R-8GhdG%Y&pFTVzWn+Um)p^b#JK zU`soBEn>gAPyv?Y>Ocr^^ns;1<{Y)B=>4>0%uUIsnQ)(4EE#&{BxS>A>s|PoVvpII z*V;PHgkvyUwQdbpR)O#1R_i`}Cq8OxrxTvam%Od)N?R{BLS(k`7CYqNXP>{fEM&Q~ za4(eXn=ofHsBzY^v8ibn$v&E4B$s!eEXf8uRf!G~~_ zrKv6*y;<6NALC#ao1Z+JJNHKVLqrf7HEzp7oO&T=m3ln4$F3b!_Z9RNzTn=N=MJ*| zaJ^oIh8mt?>N+FT4l*!*B`FURafU(7dd5R=4>%KLUywb(j$((lX5IRH5%ls^cK5Mt z3~hZzJBM|gl|EZ&4w>76*J+}A#a>U*k_dB*GcPiA5f9H0B%^l~FZFp?M)4wjZN*P|Su1g7VQ$ah+d*P}W)E1d5Aq2-W3jHBQl zk?`&B656`W-rpodECmN{xA`Q z4+IECY=BD}LmbT#<~flmzA8=;3}(6^=Zq2>xaJhfaUowy%7WamCBwI{%vw^xd(CSj z0!Qc4k*3eViy8Z6Pb7rbItZGPeP+Rf36c??hxr)*LyHiI94LaE3-$^G(;y*abgc$Z zG2V?r2I?!-_QjrO|e(7-+cRywYBTYZ)AYN1< zNn3l#Uf{$x_<{`WraDF?;;+zmc9k;I+tSvI&{XZf(CK4z7n%u=wzYPd@d{3%EfE~n zi?)t*qTLA=4~Eh9JKZ8{;XZ!gs&n0>tBFIVYH2M#gd3iyUbTq1S`%#lixAFU--y~; zuiTPh{z+-;t0W)Vy3^IxvaKgBg<#z-czkjXsuhv>1~fz64VH-0#`HP*w#Ap zN#|Z%kp6a&u-|R3&3En}wodW(Vx_5X!~TEokX5-vQaL-saYA*lOdrB!-CB)pqhxmE zs#+1x`jBlsNn+6oaVET}nfiKsQFdQhYQHU8u|KQUtv!K{-c{OXPOPGTj}_R~HXgT* zv2GneuALx@gg7-Zg|=R6V_P?}4jASftTFXQ-*I~A58=&kI$78O@qG3Ohg`8udvb`v zQXeyVzy;*gn6%I?GaFcSVqDHn#*?s~%NBU;h&dklK5;CZ!}iw_d|>Y0X(l{(hejsj zmzw;4E!H75q!$-xtzYAl2DgoLW+pzD`wQolEYzBP7vJQ^4^kGkZf3Qz=CPJQ(l0xDiOlSryEL`>cIE zbB2PkeG6-?7d+#Oa@qK>!_{FwGxev(n9d|S?RB%<99NnStopFjkE-$jQ4EIzCL3xz zS($i5P>XcQ+2`I;tEE@znKgF?OzIXgKcC7s&Sc9=2^s*N%7L6kAoaR{kVICuHM1#v zB55FKOo?a-$>rn_slqJkA#t*4xod>igVPOVBTd)vxSy0qU#RqkB2uRVG?$4sb55cM zO}hvAmLZFA?;_PA_qs{WgIwn&nPPCdGHyzW*Ci6Paf}{kg3KMzn?S+yI8VPioiyOgoNGT3TSG}8k$X2)+UVp8h*t>#sllzpHWfg5O7rLt96`76+k)qvS6!jB~Zk;oqW14vRSExVn&kWwW*p{{R&%&FVzb zRpY3G9|IBhgfm4)omkIo8lT&$j-<gVwDTW38>zys|9ms11{CP4#BoI^|ARyzLxlx?jtRacbAW=A&lexzR>= zWG)ti6|T|I>x)eS#zsJ)Py4#$Yi{mapFR6OWheeCGN%H9DQawMZTO}Va`8o}xM#hr zW&=WPTU!g;x=glp7VGxWXQh<)WMS;B4V%Bi2X|W@4I}AN+15gor(T$4L0g@|;Vffx z5OCT9_@ruD%d*pN^Q1EUWnuc+BSZGlFHhKsTdyypML>Cx`2+Ba;P03eLbkQB13U}u*17!2;Y$%2v?u($dcr~A zXH|LE;qkzTjRn1ia?Oy7FS4t9`MK;s?AK^kQb)mxtqfap|JnSV&+cUkq6gl_y!zP; z+xmJMH$ksvBH`-R3vh^g!ig>Hhu7&v1l9b~t9!!YoZ<3Hmf~JaxRfOX6vBjnTbxx5 z`fXA)#of3jYC_yQ0xNpzP<-eT<52JD$TB|Jm&2c)d`Ix#eDC=Fr#|qTn{&fOO)Z|@ zp`RpQ>=FJszb%p8B%eCU(mJzxjsP}|4oI9vsS94-kSehXmdT;=MC{FG_~IP#HL03| zKr41W5=k<3RgV5^(&2l^O|24{{IkHM0Dia>T`#o1dHU&3J#`eq4e*i@ANGNnwYipH z9H$9XPE+J#l-NO1j9B9_oc%qvaH=7||A%1MB|p+fR%Y&J{h8uB27H_n=2Ef*}a zXOgvHGtx!T8$EoaI5^H1POsd{xrgGm5Utt40N;zPVHrZw7--8OK`0`AV_h{>oR;iP19p~*M zX*s!>-L_A~d3~<_!K^K9-DzFL346Rw<0#au<8R9jTT9)IF`Zr5`)C5)JgB&J?w)?+ z&(D41FJl%ZKTjTVtU)ysPSD10V_UcN2G6GZSYIDX{gwaZ`9Jr){z+RC zG#-$#K4kB^n~W!i-jJIA0o}}=(FC-58k8ya{J=&Ye z!=8sc1*IAifktn)lh8{vdOw@|$Rza%l9jQi@`Mk%S^ zBLBr){ml#vS`<>?QAEI2mf_O#|J&^Jk#A8)YVZh?uOekTN~&%PtZRZ-s-onPb|~Jv zw-{?Aaq?44@>-BP*LN49OunnFy%3IDNtubX@Z`yIm2>#-2x9AKznWLB0A*7$m}MC+ z6nXFg@0BQm*y;R-P0-o%-^@Sr_|Hay3eoUH4#$*JLB0y=R3s!t=W=+jhbmLR_1ZKn zXIWdg;li0F(|tyWs;h5{_6DvQE~-H@YvWL^R%cY?>WKS;gF1+0q=>@?KEsJ)9FMkq z0Yye36(J*f5L=7dig&#BKX}#ifBFd#M>t6B@S56J(8WLmN?i3iyxBW>h!Tqg^<>Qz zNH*98ZM8!PWm1ZcBrD;uR8W8w0+T=p$~V6E#v5o5L?8L~1;_p-j_URqhJEhX)|O>? z;D}n%7bEe~<)f_@PeWNi#4F+B;pM;Z&Oh6D8L7iYv>6D!dX?NMGnWX6JOzGE31SB_ z!xbgvX5QI{CDRMs6LfSAh7QPhfERH>I(VXG>(zTz=|qaKaUunzEl8~WEX*SrdW z*3p{eyAIoUGeghDekSHy3f$4j83o4TjQuVMytjhC^b7y%&&&*c3r-1I{JcsWRg!ze z(K;DP8AHAYB%dUns7N|FB)38m2=#q~?7NO>eNX34{;#io!n&N?cg?b95Nd~h%Z!== z=oag-ZtC9{uLC@J{Zc~@=(isPA#*P0<*FoaiD91h$u`A96snm{zgwWV{LmjA-@m@m ztRp^#kc&_W96x#}b@N=3*m3oO)59{CsDjcm53Q3tm27HvLC<32xME8Ta;$JUIR*mK zEh++jqn2t19C^dX{Xt5uOo#E{@#W#*lzunE$8G)Ihc9n5Yju6>G2^C=SMqs1D1s<4 zzg>v~SsN`SFJI6k4J%4bejXy1PaFMQ78hcjz=dtgk)&Yxk-}1ZtZYFY(nGV~?{F5AMv;h%_kj9k;i{m10^Q$wQ6*T@UaOqH;*xcAug z7zkZv#pTPt^j6!_tS^x$%&T}nhOH07h)r6%nO#j*>ibH^p-6O=+a$EI)T>Unv_de!j5z zq1;`;fo6UAPTSn9wT_H=HG@I;S*ISsVgBXrISS#KW|_^O{Z!dH`q7Y=?YdAbrjO)1K(X;9^Uq-9M!fA}%8kW0F zWh2y;u2XrY8eQ6b9vO<$Wy??d161t82+DCFxx0$AK3!;ARhO-zSn91&50LH-o}MdkAt?#xiv5j9 z>6U_#)_j6nQjGlefp%aaHD+@+uO0j~I#p+mnd2*gidj)?3>#~vG;2=b*wU<_BPYZC ziD_fLCXT-h<)hTj#RMdrtK(nfnRrv;0!)-1b6f%;G=2zNLIgVbNZMZJ*Nb$4YY?o~ z1pDe}JzTKBZ1~GmOksyy9`~q#*am3U98=Jvtto_B&J3Qi(7ac`O1`edZW`x^qJ^Xj zq$vbzUa%tUr9ttDqs#}*>9-*X#*A1(+(lCfQfbax0m^Y%W?n!2!IzrzMz42s$QQg2 zG(xm7C$s%Tc|nn>zz^6I_bs4i&1%6WZB67#iFb>(8aG)JODQ`l%Ga8)dE|3*k&{Pn z_}rJn@ks$~azr)iJzOET1723AKvL$_F9?_^dqyiP2=oIP{(4oV0Wb+Zbb5$j#5WkF zF)@k|Y;;N#HGz*})ld3Wv;OAhX6@wPrbBEaTZFmH4$M;yfoo+FBQ7uSf8IK7n4J`# zapnwj$ibwhnbVpi7`;&6z}72H{1Xp{=zw%Es2osaji0;>R?; zkhV6pAhdO0>K6#QZ9Y)gaZHqHNw9@%!lJG12uai7Pz}_0C(cJUsad1EM-zAEu83|B zs7Wymm^r2A9NPMY{V$Koh9!FU;fGLW`8#OnNn3BjjAqT@I-8ocsiwpOJT$LczC>n` z*eIVQE;YW;Cg>Ku;0SLGmwLgtf<`)3;)qmS=JhRllrjUQmlFO^LU24U?{aJQ(Vm+n zV@b89GWR|)6hb2GbN6;k-BzhJxk!EcBP{RT>ep70i^T@_!l8G%B{5J&H-Az0EudzN zuH4kD%cQND0A%_$_6UxJ$!7JQkC()ANAF_&?AM9*#d11-@^)9Voe!Tb^HJVYU2G4n z{@U`1xs&5#FVA05E&S!D18RI|HROl=DFY#G9oG;VXzOyEFUQ+-&1$FL1@l6cTrD}! z*4{Y&|AHz23Agq+bNnbX%{m-IrQc1UX8qFUW^LL|#73wF+ImhI73MFTKXBB}e%>1G z>sOo|o-9T<4#Y3M+qFiXCWeV4eq`a_;{jM~=} zlpS@vEgYVBTns3NH!`Bk%~)o*FmDe4wMgJ_i`FNZ*R9OM9Er{;Ud03P37 zZJiS5Qt);zEY})WRF8&_m(Q{&l*MuA!tc(II?af8+(V8yUVIsxl(R#AQrS=gh+Tpr zcU(EC#sJDQ&jVw=B;OJb4UqtI>TrEG4jd(lEU@B93d+pMNv9}n?JZ6=psf>v-R8{S z2KF`;b$lAsD$f2u9x0myb0gM^?GUFJ>+++^kQ!Y+Ktpl5V|S!lTmGt!$ln1+=x7 ze3~XOB)04;^ywE5j`|MAQrc$QIz*+6K^i7+q>f=Qdub(Q3ffwhYM7GlyHl?SvDe4B zOS5rjZv0qJm5*CR+IaQ{77vQ(U0hON_R3p>eR)6SkKt~`tlj?*%=359kScA>;{Z@) zY2WLxLawr(k26#H7LmOSkQ;~~{u@x*ENjZbju4_`l!wg$?7ap(-A zRaBGG()@hvH6mumn^#C_>qoCO_Dpf42iumXr{8F^Q9iz8fVNhC@HyDy($>#I_T=pw z{B){Be%hN3bqwJIXPAx+(H1n=1Wt2?ju3X3alOU@qr69xj*jF9ZJiG+YPRXLpaot{ z>k(Xfjb}kUwr$sH^NQUB0;;+S;_Ody_Ps~j+O;M^n{Uz+Y>%}%O*=7k_D08BxeMM7 z&*sK)Amjes&W4}1f^X$c*XqXgux0~3DM2Z(k?9DMZgsL^h%+kLYdF1yU$3HBiyf6{ z`#=z-V1~=1w^UXR&t)_zKhCBoa%F>Waf>!Hzx{zK7YSODG^223JTVfhDi*&Xrh%?A z@UYJ1s852{qV6Oi9%&zN&me_&IB4NPX_P?~xC}%jbrLUd#j03m3eVevZ{+Vx7=)J6X--Muxr)dW#}Zeit!J7(gRECjMhZoMB4)WG zUnVn@9uyAGRV%#`>-3NjG$wW!pF%#;)`hZc0E~MGB3YvC5Y(1Kh`t_eP%hwQUfO#1 zK;&_$*OOgAjLFonD#6JQH$w+kW-chLQ(nmW{V_av-b;-dyK&K43%`-uG3wcbQX?LK z$Hs0gP@j*DVo5&=DK8rXRmPnw_j^6|gL}%D=i)b$=tAKcJ&OZB6*j zf#)+jWWQ&HHd?mubX@&`40CoV57O4@tdI3!rhu^5b(*x2GA?bMY-@(hXzO?!2=b>Y z@hr#>qBo`DrYdc1vV(JSA8l>5?n|~c+K>S()t#AeDfV>)7yu+$MKQNNl&blU{yzt@ z&i*0C@H5cx`*iq@zMx6Jdl9_BXQ8)ALlh>+?sJPh|SQ?Bo!d)gdQp zydea(^?@+Xi4-&3wr0Zwz~71w#Awxir0O zmp&WCnzkZhcD_BJ+S7@)b#b-b=BA~s=Pxv~Q$}Jxz!@5AcKASEzPMUSTbIL67tb&g zUQ%rew`ZUM4kDn0%q(E>Qbdot{Z+ z)H|s|P88eU>s(PLwNe2Vlll#!myGIesx&zEFK&kLUY-?I`)V9t;c{^F4u=w1hyNt* z86o83;Fz!A6O=(rcMX8T9~T9|BLp%wn>U+h*KLrmBNvk7D2U2Y(eC`SK*-!CA;=XZ zcH#Q1CH@rRNpl1`_@+plNNPZB7J;leS1=HEk}dNRBSO$BdyN>$KnF<0%;83Vb5}G2 zA&YTdAJ7;!rR>aX*&gTD1q@+&vOBE5*;l88_M|Va>1{r&ANrQ4$CJQb)w?hEs`ju+z5LLk)y2>Lb^`~&4klrG+<8JI<_B?_Jg3^zZky^ zhp$QVfwE`tp_g2-$%`sALGbXs!#5>jU!X!_tWW}IYrS&o^L5^SKwEb-nvk}Rwsozo zH4|=)`!-nC>()srOIz!hsOm$wwb7j{Rdh2i=aGE&R&9MQm$#kacTqTMo!~u%5e5FBn5w zVq4p1PHuFP?r9=C^gGOipC5J$ADOXx?*2e#BrS;jp%Hx{mtEy&Ux#k~z$C{oKi-hG zUe$;rf8y9Ag);y|!S3I@Jj=!%24%Ax7{aiXQka1q+reZ8iCMmG zd&ZLqDZft_WdVY9YrnvW6ugPTTd&ksx=OS)r#0I%iA|q7v5$X&Q3{D{7at12)QtQs zH>9Q4pt`t5F2`mS3j0E1G37=dmW!$z9j1C($2*+Nl|Logt2<2w_v{=-;=LE`@IuI6 zge=0-`LE;?*Ay;2#d`o%N^gCUtD4?&4fPR#mzCM(3V8TP3XzN59X=g(9fKeW1(Ekv zdMa6{GWl3gXmUjD9dnPZ9($vgymy3Y^;8@~RuOd21Jkmtk8?R?;U91nssJ^(QCxL) z-XmY7nu-vXxU9g#$(O`}$U(&VCw0{pX{>)s@)PN`siI}hF$KzlXAkPIOHV>% zWk)@5sC{<&#Sp6H^qF`P$UGNSDkUZGP!Mg(7f3Ql9G(%-VvRG5VOEDjfp1GHp8+>G zR6yXB-s1&`8Ji}Y4`sm5U}jB)&a4bo3iP-=kZX&X6V9!$nXBPc0`%VEWd1;g#KX2E zcjEh|lme2|)`IyAaEb!WieX^SX38dDIYLRV1@=R}j=WDO+6h zxSH*6D&F*Dg_kpnku(};YsTwfb;Tdm|J?xj*oo;(jG3{Hp;YZiuj2+qb4E|Q0R)QB zDaf)SSALn;OHh@bms152F?yd`4>kBoTl-#Z?f4LGBtlyY5kG_*zY`y|wRQHv_tn-w zf3MYycK*#cyZlkmI)gDx6LOuE!S;{>1QML*XzM)Oi&=B-4tK)6YaZVLP!7;8 z;aOIei}hKrb?bZ4)@#z%p{>UK6~#a_7j#z0edg$gBCI~k4<>Z?^F}h5oo2!XAhc~c zYHKewp4Nq#3D+w)@k>Y|>kHJI;*n{y3p6FWX|GMk32#lcs-_RyjI?$3C}W?sKS#i) z^o7(xpe0l)Xq*YxE4Mi&tnaQ{>kJL8TT5Fv-X&hx)~g3UxWUyuJAtX#&=*v903 z-Ww-h6_~-wK4r}Sps5`E0+qw^gX8ugN*+6yb!(zDbJG-TTl>HCj?To7iK?rOc-R5l zyc5P7yUZRNzD+F$o}Kf4@7P&Nw0(UxYKQkO+KUsWX##yosxdTiL}4PdxSx+r7)P6n zDi!_t`l6@rTZ#Sa zMFMWfDEX8eayoL?PXw>KVi6{ASu6rMOt#P#L`|9p;YFJIU_JE#2tE0iBdS_XPsU;s zIsE+4x(Bh(I(pz53}WKA;y8|6NWpR(Ta$vhiLXd%D0PxC4+}6M{+Nf0IuBbAxHL40 zg3+jh3-FdtjE^+zjV3(L{ULKPyF(02bq4aKCGJJgCWR$pYPi_Bv4uGkx<5p2@F2^V z#FP9?iG`=PB6#o6W+WCQ7_ZE%S4nsV6v+(Q+E2D~b9Xb0umgAnt{UMe(n;tVocXXC z$9(D-7g-nf3!>TtANb`*|LW$~{61as6%Z7c#85dgI+0-zWOiko_w4Z_;X!#i076Ce zBh=v+^=&2_EP*3q^et8Ht)eoO=o zZQY#-chc5gwza!-AleFzXl>V=>fdnVE57x6fB4Y<-J5D@YcGN+2D!Di_As9udBRS~ zw*J!F7~5*``JNv(C&f4jLae#i)>^j?QnYK>)-KAYa;vnpwaD9pXzTdVshX*Kn(B4v zXUZebG>6z_#uU*LzvSpvEQo>^SSOx2e+J#hySH~!JnlJ`Ua{rlC}!mDq4auN%`EKbO!Y!OTG!m8`xZUzEb7X+~=dXyi zLmhaB-)CH0w_dxpz_z}1X`Bq?mEcCOFCHk{UvF|W-dQYmjsuBH@ZtGjjVDJMt(sf- zH2vynrVHBFmp7g{k!yO}Ujx>Q;xxTPtc%REkB?oSWd(b}N7)meX(rspj)le*qwo*@ zA8)z*Q#YIuj|v*t)?{O5Puhpfsr4N8zfqpT&u7HbK2Bx*C~yBQTo2W#-tHd^SIf5U zw2qf;Z0pb@!0R|ib1^$h4JRqs)^K@IM{q=KeXu<QMA1cxJAhSO$4HXBgn- z@e0pu#5uU%Gcq*m^rUVL+j_oZ zE}5J1>;rVwV4Wy3F4}nR`3>;QNlIw*5DU;De67&c6GUJxiN$j#BXw3x4#I?nn~;Go z*^o3L(8}ySc4riU(l99sRnMtJx^Z{E^YCxo`2HVx&4v%#s(gG39^U9CL6NQLrzwVr zDkzY-X`eI6F%U*FNc8Q4$Wt6(odvk~A_Q2ViZkb&lH#qce03go1I!5bk^lZc{v>i)Ff^2Uch{SSY?r&)t?5go){VuUQ@USc~gBU`CQ z%7Dbo%dZ~<$qRJiJ)ICDXU-d3MZ=SnMR<`Mhi3$(Ol4a^uWj9ZgDVSvKMJ>WGHL6_ z(S2UpdP&-lyeNXbpO@LCAR<3`R?vCvhra$#4*c;08TI}WFW=-P7PR$?*z0~D+WO+AnQ-kgyDD(XX=lu) zNADx*3hJ5ge1^?r`n-{pvYTC3;YQoKtF1>tq&K*lU`p1lb7^Z|G3x#_f9Fm6mjB&* zuIhS_>vy3DAq;#sVFz3rK!+Ij+|{B+q|I&l^w z{}=-msW_lQFcW?@*w~Mv6V7J&`}77^1nn|&mAm_M-T%_O@%R7BL-F{k%5(f&rkVqM z$g*Tx-{Rx5ZtZhz3k=NS!c7>>W_#L3m*`4IXUKf(+@#NM!&qSi(C9RIf1lzhNJ?pk zmzfD?mMI2&Ixl7>Zr{WsP=qZFHGWCn>Q?G{OY~g?b(H!CsbERBHl(hxRYd=`ufF9c zfAtSvRZ&Jl-2_$AV-q(B@_?rZJy(ZId!BsG48d-|2T`eBsFU(sQ8|lyc!Zl~!X3XU zTB>vxJQOkBNQ-wY6bHFdqu7Nw!{rcJ>!8Ueh@ld3MXE2o>{~znlYj84kN4(=2!UYo zL@CFoshsgCs?X_Zs5J*7U+gf$8$ION7vNdk48>k?Lz#Ln@=Ct2riUt8UnUZHRp2uS z-$l|E=MYPQRO;<08D~f1UW8SR(AV|kV@342Cj=h1z{gro{m*})$)E}jl8kXrt>H3t z4XQOAZ4nz)j#iPWip|95Mieq)cDhl^I1jfGkQ074P}DQtsNT?P=Na}d<64-FPl`KF zTCS>d8zK(wVkk_eY7{>S33!{ z>Ne|+UTFjvG7t`6>Kc|5vV;UwB5f)eh*Vd>4gg0(CM`A|V$jqqXDEW0l+jj>d_fYY zGIU~B#U>}OM?Prl8pc8aNKzNNQ^7^cg=1@onWOQoz z4kDi&$IJ(Nz(&>lM;N>0`egtHlJfqyc|{YI8&Nylq>^loOF8c|dN`(@gsI#yrsw7v*(4=F$F*?#=FRl0L!@Cfa_#)`IiQBV~ zWo<}{8p{Z3GDcT>#9uXQT~`Xv>!TZ#&OXTlyd0}gLH+Vm32kGF!_0K58Cj3wffH#}DCZAFhv|l?4&sqv6wf zrBkVE_0W0}0vaG8>-f9)kZ#c(Y~M=0kb4WS@r6D9m)h-h)5yv$ z2H~C{ujey&)kY%?Ar5J>wzk0h5U2L((|Qg+eYH3q2ZG26*h?EciPa=yuJJKP8+z2j+Q0jU_9{MGKXXbG zTIAk+I(OyLP_XRH!B&4Fm**gG6Z+I$s6N)i5#t1S_^5U6V!kwfT2G6kGA7j>O}KxF z2)tm7J3@K%W(=?ssQfX;Oi$jTHWO7c3j3Wv|d8IfE;Z?wHaBY}&x-ZTjy#}g`v-X%AIiq~ zX&2V9hnIxM@rmq%wn}5HizIsQaPwOJ)V5_7{u57LGBRtO^MMZ*An}QOhT}l|5Ybxe zMq2&5H*+GJ63{y0`s}@w)O_Wq>gLpJT5>2y?pJNX?e!bv+yscQj)&Xi8GC`OP5C2K z0tb!5Ls`<2S5EiA^X)6cDFXTJ1RjNAku0cQeaU?60iC)ENgQR91IX4O)W|eO6}^x# z30PyiyotOQA$15}ioZ|9t`1ByH> zZVF%|3k!UCLUfAkpfZA~%)*1&r+m3O<^)f@;Cav-N|hE|9j*j76rqvI)m(fhcA??@ zl9jVcY(fmRh9%$t$kMzegx=tpIRnu44(+_)ao?W%6-s1kKJcJR;&`qI z>O*g{SuiIQ85Vy*v}bsx+BLFvsskxIVW+&_0^2m}iee#_YO{KB0Y|%tMto4|bio&0 z=S~i{JS)_wMl~cxJe_JCy#zClHm^^wu=l4*g2y57@y>1JE8Ni5PD_HteLSFo8Ls6T zh&C%VZUwqe2&V}ipZy*Iw>%;wS5HlqA%9a)~t`RhimD- zpnB3*jOJhlK}Q7}UkPSt5|ARk5?sHP8{+ulS(r${wpiJ;Ki%8SO@H(*Uhq1B&eY2> z^PDmj51x=_1s;uv&suis9D=sivzO-6imBSnC_O1dJVWD< z9@D+98l`kiu+Z@GZ*bApw*a*3c)1?$#oA2;0erk$VmclaqnI@lVmdBVCXzvSr6L8l zyd`4sEaj_9@@@QBaPd($kxj}`lf74-a0>i7u82_Q;kj0w9FY136-hq3hurHUtuV%> zRw(Zf(A}bg5itQ!9+?nQV97MZu`?)#N*4$kd45uqBt<-9s&Qu2>NqaIePW5xA-!?^}svePJ-ju8C-=*iK(BekoPd;8MY#{5>Z?`PK3#0 z$9sWX08i`qh|VNN2=$H!v!-iEV9}RIGj2drBZ!HshDxz;j9U3$M$@vnPsTNX57tD8!1- z)(64{9gb-Q@@blYZzthETLYBgxh%MgV_r`YYHgiN=#%VIm_c#y+4?|kE#3zWMtA(I zY&`5=wsnrSj>rEm6W>Z=)8|eFgckz6oth+Ved32)_~C1<)gtFy)54Lfa%(l1p+CWC zP@noo#dMTaL3RSqu&P5D<}6)0mM%jei3N+TzFytz9{;Z@inbnJwOcU9D)1-o^mg#w zdA@f1U>Q!01g+S{&(^E%z8pjl;>(W)=RQZSJ^BXAm(kWy^}7!nko`Pb9V`bcM@Xt26z&*JbHc0)~Gk(INZOC zes!NK3hm&tySAw*wETg{cBn5UdD_tkJ?!meD=28tuUf-RDQ2*0bzpHbSk5=YtjwHW_7g z9t~|I=1|fhXPz&9#Ez#0iNAHJ%Z~TPaF^JT;A5Y_qbHrBpmQifa?giJJbV*BQmPz@ z=j?1`A3i(6VvUh}A^yV)tAl>XClPBcXkNG%SdImYuYknb}@Rxm7~qRm9a z7(|1Crrj1{AyTJYAh7}YE>(Nzd?!-CZEy06@YTg0!_x z-N@|OLKVx!4`V$@XU5x~K@~OsM&w?H*#5VJcb?>&40%VZlWgoo_ZJ8Qx}`;777>0xB>;isE>jvUn)Wek|((a z!egR{BGH{RPy7&^e%h*NZES?^__;5<+{$CeLHyaUx{bjgIg|FhbUlOGmlcjGoVOHD zeqDT_);i)6qm^y_#tm(q4t0FVtUJBUsD=@#=&2?kF7oT+yf^AGo+{nJhfz%Y=o-$! zkUPo++^- zprz2&Ne9{1bK+is4s}d#zEIOOy)EIUYpkYoA}t7?rFs)_PJ4Z3H<5P3Y;io0o|WaK za>SQtR!6P$Q#`lXIH@Ue>wKVS=l?8~j0KVQgFTXxLZMklV600m!RfddoJ0s?)O3&2 z&63DbPg*uW8#d$gb`k1>b3JLzO7#fwh+aeDX>}Yqh{#!!x^jExxc3JFFG2yk1R)is z6IZ}<&2j|^ogg9Vr)_>aStt6!lRb%~mwrsaqw+~`&h#AARr)9p2oZtI^uvRE+FZMWnChz`WhR_2pa=8J6|(-Q)gHdnXbhIK#i%1Z z-UDI6lgJW6r}_~@ugr4%$g`WrLI6rah^`;pHs^qH?FaCK9QgMs2b>a<4NB4CCW2k` zIh*Lemk;gl3A1(CI>Xl5ZAwH(CTU#ocO2aJS^lls<$DtS!1}s17E&D*^H%?zp1ib}w^3)_2O~dl4>tFPQv*$A%qT=f(G` z53BioI}NWJ=5N|jxA1N}-<^u;viZI_ykh#E7Zp4s<14a9vHcx_?hH6GZ&R{9B}KE% z6$E~DeOsW3nHqfU_>O*OzN1jv1?pt>!gl^U`El;_PN*OFZ<7NU9Yc&pCbmI+f4%-j zte@|15>jm6RwuSiWB%Ka`MZyEBh_KU`Q5YqE;ytL^|#&gbL`!$=Y)vDviQ@`kK@1y zPtu%gH1g#TfAaX#_3@m&hfkU$ACL=G4E%HnUC~gqQKQx|q=;-ebgB9|d=z(swbBru z;F--;PwY0R)0c3GqvsQX1>X`S&1_VtexSq?f_&AcLc?wRNr~~z*XfHSee3(K>R(?DUD>uc zj(C=zrYVgBtaAL#LD|Ptz1rT!GG2mz^p^gUqorMV{HB^%zeu0i+b0Tpsb$S?T^{PZ zbopiSut)eb8Xm_iyllk&?D9Kmk4UkjM``P4GV$1!;>uWV){>cms0-d*EHXH$WYjf4 z6P%T#NiePNZt~ccX$z`4wcTg~dHik2(G|TXwO6F2e||(sV;YcWTPXYkPxVexh4{im z_&+aSm$&$kvQ1pRJej+XvE6NxmwlCQ`R&RpSmQe0p8n?Lhr}yWz=i_KE3xnL+JS0I zKxbdtbB41jFPH*$+3%5;+al_=NybwxNu^;et~4szK0%TF6fx>_%nPn7>PF^ty(AZ} zc#~gUuN@M!W3snMzZ+gDb8`DwGnl1}?q#2?|LL)R{QpigU-*NcXxm=i%oz)lLvK)T z6>N`t^C~+7EH9a=41xFPVL{HQm{d5il$0$|j?SJlF@nk?!$3(f#*CvUw$7fR-XK@- zkjF!tcx;U&1(6lxn4VS<$4Vk`>u5IxL4a6-u_#rh5*@(^%+(|M_eL<)+HK{iax5lJ zMWLLDqW9*<dGf)-xzgKm(hBaQsQ%~hnE2keSV#gReRdrFZsWv3MXt^`ZbdQm#{D4n|03wJ w=Lkb-0CmzabGA=oBZh}Q#SP#3mj0fM-V0FzRZHrHSrOdxT< z$#eSBWJ?Gmh?5BNr>4!H8`mL({2AvDdHu{x=Uw8E5*|%mN~TFWZPkWpX{WE_Fv*lQ z8F=5{KKEWp{v%zQw68PMC7pBjUVH7e*Z#Zq+IydKuYS#?Cg|i!?A6?_eB0*RcD(I& zH+I{$TW@q5-g4{qZMWQbn|tkzx81(&EpK+`zVa32SFCZvZ`*du7I#5;jVr(MoO54w z&YJSMuXI;m;WlpDaihCr%eEcRT535A&n<7*SU&fHU%2Gl^Um9_?lNnOiCy#N9ou%? za^n_TZi5iLaKdv-o_is}e=Q!mFL~}V!py>Rx(l-+vXsy9Cz&^Oe#{2!qls_=C0X3J z+<5!8H@^7|H*LQCrdM3Q?ag3cdDp=o?R@(i{{3~^Mf0Jn?zql~D>%gUmbdNL{B8@Au}mYZ+9VaFS`y?N_fE;_!; zx%G8S^x##J?$#~mzhTR^H{N*rjyJ3;U;i`xYhStUm0QYNZ{Tsk`CG5Q;rz|7Ecc&N z#6M>)gY}YNmO1={a9eJC`?ecy{F(m4%Cp~X-@N0dpXon}z_-6;YrlKj?Kj?b!&|lx zvApxrIy94=o6`Doj*_>zWprW-Wdw^O%Sf4AX20^DB`RrP>UHa>xPK%xBKm)1d_}9I z1Ie|{HvSkaew?Vuh%NJ3KKn?#6+UrwHf8;xMXkzSKJNy7XTCMoJxpf0C1geMQlY*y+y$}&@WF-PP% zYlZRuPBfMONiyq>khALu%>Q}_|G!?A{xwJcf0saeT>xopsVraj|MNf8h23JwE=sT% zga0YR56iXY;{OW&S^~`yXindnnExFdN6xS{i2ciemgd1Ni#m^6XgS)yY(h0!w~&bQ zYV)72iBByg!%sX+`3V1LuJelIAI;?_5piCV{7EqUS04ZDCE#9EiZ7-IzBtY=N{k3lzt>Ti|Fi_5k8e5NSP!f(Wv=`nOfqFxw{B}WVv3OE{wa9ROM!EvqT zid%0y;514?-nxZ+F%ZRscL<8rVLmArf<-1%PM)^tj<@;za|=)rfF3NQcBhxpr{PRL(C3Do7HV#jn_TEDN);@-!ql8!*yvShgOza+_uD z{dZW`&e6;sJHtYHT7O3EkUigSP-Iq`NbH2HJJt!9yo)U#v-dao9NPh-+TH#AV&}DWIHIQJ^Nx}YpJujy@0ny4$kvt2Jx!iSwkYFWCoEThAb%Eu+D68Mt!aAV zj3k^}fAG=X`m(SDscGp|JB{WKrgaz->H7MJfYZq@@OE#o)O$-yU0psSU<7Qrs2vd; z8)BE}hQk@}wHVc3Lyo5JGMiae#43;dJgxk!fmt5m=~;JXU4**WwFbIu<3Jytpqc=3 zlgJ^Dp5|>hp=#7!c(w)=$cUhg&)n7UsC{#D$lYsT$+K`FfUc)4iUlA*%^y*F)Lvi| zima49J|)+S@Rblf^;=V3VdY_$(>tEZX|97K5eB)}vz&z?gamplNsz2$X`j3;fmdFX z8!-zKu>evnM~}i9&^Oo9KpyRxy8v3$T&`y-gE1oq{s;+JUw1F`AX;S5pwS@hJ-*Pu z#HZICmW=|DcL7M6nY9k3;OewqEQ(sM-|0UI2@+uuC~87V&WWQZnjpqZ0xsoPbr+N1 z8DX^HnEOmqafn=C;_7*w6iL0l%^s0YNyLsNPpjHmwDVykdX?V3Lh&Oc3AK0tpw27i zJUInlBTp`ni9uuzuy(ge*gB{v3eX5un(1ShT1gIeo}Jl)tD4=~g(VQKC-#gYpHPa~ z_lb>LIzu~GDK84K#JnrCb!6~M$|(>?77l?D%xl!!*m3B^ht&BO0~A8;N0?ik59Q4W z5{BUOxTS+VG?wv;!9GP*!*dpDr`MAOwemGt^4QM)+^#<To7xkrhYw4irl%v+ zbEF{Me3PaoAfrmF&9Yp;g-qX8aP}^BVk8-dkL2;2SLe3fYEMJ)iSn|{-T`)S$Pu&% zs6~Xn4r}`x)Ui8(_&1a?8+|Kd(+JJwp*JkEe5O4OZeuBC#fje!FOCm600uW&Z8#in={?tSHm3!al}bMi_WnL=FO~ZezY>OD980pi>PN!! zCpg=89t!6(-YEC`JEcc3#ps*$8v;P8?`Lv%y}#HO! zByoha5n;TrEy55=FQ;sqO$mN|s&#?$Yq(cQKbA?vEp|Io1gfMe9aD@_MCO_#?LkQlfUMBB4}%cre08KFp(cfxL?x&61>|tt+qIdDAeUR( zUe7-GG%)ims20EXP|4Gfg@%|C&vc7|TB1?~N_izdTe}Sq%Xw%u1s#Z@wOTR{J98r4;da~9z6&quQBQGA|B9MZF`LOv9_HMKVi1FQqLGO|1=5> z?^2Cw-+sJRI;yF~q$B%AoSr^08U72{ez6MHYNZcJUd&%|m^)!Tn#p3s=9(Y7c z^>HRl8iK@qWT;K;#c!b>R?D6HR{TAJ_HJ^E^Akm=UTWDbWYuX#GSuDUKmAoC9&0oI zABE&&FjNsbE_*?Ab-=cE(@$C^ZLM(t6$k10$Hn03&2*FQpDx*!Zx4BQU}yf1Pq2LAI1A68p4;Etms<5cOCc%B*v~N6YQVEN z#@=IJa5tJc!7u(qp4T8pwP2&xYzikjQbt);S6K$1y-R`k3xyS9z8 z+$Tf>+I_>tGe`O{N-caMD&^%xQKusW!60~*724WCLuGL_F!INi8Xc|N(jKS+JVOVL z)GDQ+B3h+*#Csa%couTHQYXR4NPevrcm&C+C%n1m(Q}MjA9(K?fkaHIc*MaU0$sxAOm|IPoI9-|426lOFXl4bZY_7*4WnYME6xIMFe`$? z9xZfygk=zS0L%@8bRy3%`LVXtzIy6lp{?Ic-KzSW;(SN0I;6R0F?Bc#>$6~)3s4Lh zw*9!mr#H7{p&Nm=Xf7b`)0@fcGjz}qR#<4|t--*B!6p(|jK0@pn^moQ+p4+N*)@1< z*l2@~*|OBDEB(VETh}lFf<-Q5p62XyV zpuNdATOSn3xThVqvz%M)4h`C+T-1JvLtA$z=|R|sr5nK9)rYq3NnCG7X%LE*ATSD0 z>Ogrn!&DLzulCitqtLRfDBR9@R>G$P42|bYUd;Ob%eaW zjZ6)IX~N~9>4YXi#(m3d!=FWZD9Rddt_0Vuj9#iZlI(i(UJA1%Py%s8-D~D(IZX&; zJrP3XLSSo9t&?T-QQCVDc|YUfDPIKf#c>?Pc;<-jC_a-=%zqknLxeB@lW>}b3 zz>6q_7wy>vc~l0ju$H1fdbza@5`wCA@y-p7!CdqTbp(WYm~JGgNCw}MFNAKY$s{is;cdF;tV=r@GJ(7?gU}UUP7!fEc77eGS@30aB_KbVk35QOI^65 z0y@ruLKNM}(TM8h(F7OXSnj0KBvD8KL60WBh${P5C>o>}&kikfc=g9LVyX&_88^nc zMd21q3uN$O-sOsR7Zgi_8sK$9xAOUlfH@_SMlK89D6~`H71t6{}u5T1*Ih!rj98P^Qu0R9Pz1g=LP8xmSw9V8FpFf9ZmgOj7) z&agV@kKClE#uT7YEr@HARM-W9w&olZ;u&`eMO{x;&LV)PmnW8w91B62KqrF8lc$$Q zB9RqgwcE;2f~fOJ3NJ)%+Nz4-_{%bgN`XwRB62|gDIj%Pf=p~mOhy7zcxD7FS1HL> z0R5h8|IMz2x23+6FpyJ@)!?JrOc>GeBG!*fIvR=}{_Z(Ivt5Bz# zg-f_)!&eqe(yRy3Btk4R%J537*lx}(ReBic+PbWpS-#K0ypv2sSP(LJ*7s5-r${)| zh2dpk#S4ZWQh?cm)J4R}vmiiK+9=&3VA7ooS~$L>CMnQttDb}CFK&$n?l6V&a6KGk z9aMx~%cW&efPj!FpxTRQkAfM8R92IRwAy}XLR2k)cmkm&X*fB8R=~2oa=GDTcLsha z%l7-(Ph{h!CGhqp=(3Z^nwWo?OSW@OW%dy+$0R(waW1QG&U&WFQ@({f4k+%GI7ZJ! zhOI^0P=Hea^2kOCAz~f}dnQ4pcg`|e&^5KjLWTTY!NRKbwp%6(EM#Ro&Uh?wyT}WZA z?6B;yvNONKF%Lda>Sb9pHjkAbChC5o?n*js&DkE(lUz2nw9ok+*6Fml+Y(&W7J|&H zsb^sOfDh&_WV=aQxxhg-GXsWeHaQrqdhy)xeF5hAg&Vw$9b}R_aY|oDwkL6w<4(j_ zd~a&I_aX5ssPB_5^|6@;@fp!(Bv+TAnNQ%lU*es&sMXNKWrsg0_R8!(+UeXfBka!DBpps2s7OIa2rGFETQQ%TtI)2ZhE=()ZCz zfJhye219c1#pO<}7$AdTw#^j7r@|Hi@kFA;k!#i(E%gSZz9A!?VlqH@ivSlvoFpwP z+$zG<7jDNR6wdm+9wGY3QxcVMW<&iB^}%;10uzZr6-Slxr%4t%&*D2Kc}G&2=nPgK zBKrv@1gl)F%E;=(aH3Sb)PGvb*z$;_YJntis$|E;@BcO)1wvI=UT8h2*35MMYT@?k?Vy9ed)w9S@U}05@RFqt| z?e@qkIGB(FuI_7AmXe(cZ2`R9M@I8iT^8Wn{U6ETp_^^YPm%M6wzgww>oC}6rKVCw zTRSvs)Yd8&0xAt{t?jdjG50u4ONyPMZb3eB3r|`kx{!s{&R#ZdwbUB+xg^l${9Q>% zNVF@TrUD44H-JT0KT|g6Kf!p~wPu+eJl%ZwmCG_)Ixrz^?d`o8E8{CIixMg?bG0>U z6BWD~rChc!616pj#UiK(rN#+JY-ktrr8OJtq@%}s6g!P7(ui&m$oIli+B!T1B3!<#ePNI$Q= z0*RM*1ll>tD$c-e-3zFdeQGhu0u^@>A0p6O^ z50mc#isoAP%o{B9(WltqB9=091(eWcBDL`>vy2kP-!dfZF^i{{ z#mjk<s)Um~Pnq2O+zB9Lsu$x~A@?R!^+ zJkxV?NK@kp#7Lg#XUyQ6{%SWFW>^<#i<=Wr0(81M5Vi2s;FWj^0=`^nqg|?PEe=5> zOS#Cbc#P@EkQv8p$FrXG*<8og90N?Ybu^e|TbHDQ53{XJwsjS4?bAm|LfX3L3DSwA z1&$2(1aTNN^Kl`W$U-Y0r*QI`f1% zA}kEVqQ&sB%xGKpb(B)Zo>2MIB+TT+fER>f!*;Tr`6QLcTj0n zo?&xHv+mDW?w3T3(!GNPLIPx4*Rp3IM_b#KW#*C-n<>AV`cW&;eTV6VAhb2l-tvHj zRYaR1%N0`IV@dmk*(IfXmG7m0&9uUi#MRbhTTi79mk;9)wOgA{O4!!)o=t7llO9~5 zO0L5+4WtfEPZB4Z*(Rf1}1=M z$c}RX@PsvZlH)=vc#`m`$M<3FQ)GB!iLKK{fAl*~XtqJ3}dMC%MGKFJVg<~CQO2r~1 zFiHohjE<+ap^KI9tEidoGsn!8z6K@|sO}dA%7sqSTZPKC+iFTUFGflNe2f~bhkjCP zXZ0*AB#!7~-->9X%q2YCx=uG&61m$r$;bM|98md2khb>WdTIg%7FBS%mSR85w$@6x z#g%YKUDp$cTVW-<<4~Q;LJvrXi7o4BO9E_3$tRN_YxZ~l+%i_xlR@|vryv8^+yU}A!cTUYsQ6JZ*g; z?Rq8q5Ra8Ziniv}*tTHzZpHx=wzZ|Kgy*)VJ6PMw4qqBQ-ieeEK{TxZGXPum9D+UN zcuVb4OZpXSoPjKH;UKp4MEBL$w`5`OfOgVafRq!(7H2gH(YnvVQh2D%K5$KM(kt8A zKZdsM+U_-CQ3k-kZruy0m3i)9WvqGzp_|h-R>DzzcXhKW_MmlIRrR{X$<4VV0$E> zBu!#I7Knx^QGLYUK*L2>M7WMfgNqoT+R$0f?3 zAQWOAjLD~5OIrjkQ;wY+h($nELfpwU2nUYgfN3iBmU1mdO8i2xSByqk;x#ou{2u2l zw2ly zgMe~?A*Mql&0-#)T@*a~)-khQGaahI6><_Wrj~RrLwImGqlcwxxh+7&=ggEdDOW*| z)#>EIV(0YRZe=r!MMS)LC0e-Zr-}oXtrw<7W}BfZK{`%Q0Ne|nIfo8K+ZD7G-GggU z;1G~|5Gr`2b~cfnqDZRTF$)UTm&Vs0ilmXCB?t&wi>Md%Mf8O3&O-iCcm<-M zt)p$-gSK`RmjBz=(pT8GCb!zRHU-GG&e|UZF>yUFRz5*1ht!fo!%qESaX=})JX~g4 z2_HRfs|r~Am^+uz0+P5AUg5=}E><_ks`Co{7@SoD;W}mcr120JV8#=>B8-k`R0tECo&@yL`(En)+IG zo5g@wE~U83GrW+q?M}~2eVorg9E?C?28Hy!3wyw9k}c38r`wbjtplQhT{>ZP4B?>>&+ zdz$%<18LV;y(gh)))RLoVFb?Z*#B*R?VT6Gd_95=o$Cl$1jY!@FTF0SYI<+irBxdl zpuh2MYia99UUFKLyv>Isr#X}@ql|#*o;kx6b+3}sP#ysxn}8H?jIRo#fJyQlkJIS6 zpL+YM!?74R67WiTL@6;H3p_LYogs0Fv%*u~GQ{^Hpc>FHE=G8rRpJZqDiSaGz8ukW z>ctfZf^h^$7?+Fn|0qo(RB;s$gg{JhU>q3sq9|Jlu4^%HBI+~eOu3~VU<8P}wV`6; zN80H*(_A!VXmRKI-X=hZ@$=3G7SL6q(!Kz3Z zGIO*$8W+;)ercEr7(rqSBx@=Jl3CYQrkHYE_YgZrI3;-P{~u7l)W|)cGFb zb&QB)G9i~|#aaq@1#FjJUbuAkO=qyM%#pKBZldyJs^m{%Qu{?AY(zJw8#6_U6458A zwDel-sHji10;3tM)q{KoS#GyH4B!c>>Wa4-e;#K>4}#DFS4&WGD~gsJ0y27}U+(L| zIv6lOBd|C&%8{b3gS2&2!6@aV(g7B2J@=GZLt9%zTgR2~sI8H*bVh^GF`v{+A`=z@ zy%1zwTSbaV9h*2C_D?0O!Jo@kEX&z!A}Vwdkoc2t;^sdLqb_4Ik}xW;d^@ojEZRD^ zAsml!FngzRFPLLnYv0d&b`c}m$SzF zWyFpv;s52KJly>csntHdq%en@wl%dsa6ZjoWk=HSSUD?H@Kxxo>CI{w=;qawS9t_& z-IropFT=LpRbnMPI8K?dRdqV0+yV?=MV{0h*tgF7z7Dc`C<(O4fEAo_$}A(ZJz)nH zl3QD(yeQYLYiMQVdxHzl?xsA?3u*re_geBYavg2!*YhoxtI8B*=(8Yh1CXi7E7=;W z`=uSFQmMKj#b5^6{ZecL%15wc3xm!LbmmjrD)tPAN4s})hbzlxhkX;9?sCK@tU}<$vDGe89dA_dAoBzVdLd@obeJmMZ-cHZTv0L>MKr7!0bwoTx;tTzal57fE{I zlt_dqdc(8OQ_&m*#MEOBi|7N(Zx;o*c?n&QxuBX15`Y{82MKM%gNqQjb0iU47>DL> zxiFekOh~Z{GX!FBNJ5cW@}!X^xaLgTDYB1Ru`Gv-mSn&9f zQlQyE0p8UeVG=Qhcn#Pk=G&%OP*;d5V|j&}*)2r&eGYAtpj31!Y%t5Ll2d$XB6fA& zxEgTD7Y=4CZrq}yq77giOZUr@qW)?u==!uW@6UCXhpRT~OuXlvKd))nm-{|2N=^FfBH`4Im5@Bh)4 zUw_x1z^VZu?uD}w-qh9|b`E*2Xu`&qdMNSD=d!fXvbGlEaGy;NI!>Zgr)>?Vn36G$ zlb7$9&PQT!K{7jqpgJJP20NfQFyRuPKSY% zYaUcL{9k`~^3dn~TqHb$XC*wIGK(wWn9$bqn?o+3X3*C0yBw`+Yw_lQnE7SL(b=wK zin7PjU~S$$OJU2lc8~l_U#WLHzjMYnMJd2a4=BSvjmp zbitf`Ymb-FrBHP|3#Cl6G0II4ByCl$cCMhNBKQm!sZP}7nGb)vW8!<4o&GHqY#l1% z<@gIcD`Jk94r%QQVX7L9?r1BH;gLKoL#}kt`Li&iJOhXqTXyu!zm1sr7$%yU!XzQ- z2DxG86%aiNXp({nku+iy(tv~SSbNTQKk|cH8-AXir~?CHi-?Ib<%wRFs8u=R#iMYA z=xF6u7SU!+&zR{b_XSAMl3vluc<`k%r85tDdeC=4$)cZ9M^27Q{Fq1rw1MhDHxC)B zGO0@_fIG~|a?5p^KUE$yp3R5pnZNt?DK9-9f+l!LRL^W5@m#EjI~7g>+^6K+B$k|d z6Y=7}pcMm^(&0`S7yHG^O#|dY4`*4Wz!YC?A;ZfUd#L422o*Hv z)ar*5jZTSI{3%$50W!;v$o8FodFQGd?;2*jZbHe{#VNOk4=dqSdqpFtBFTLw-x zNLv@S^&!>=D3N(kJK^7c@Fj1(={M&H@1?C(rF&|WQDIx3ppj$(Y`(m%t*b9iTT2QH zU$7H{7QXH-4P3b$^&2$!psguc;7_?`wB{~oXltB0W#-V)JY*E|U!u zNNX^NT(wG?ruTWc9t*N+R)Zo2{$&Q z1KN6nm$pVc8?5hJm)N&vs1*tfZ*XxQn_b^j+S-Af2j8!p`r&uK{y`c?6Z}MSFHfSadpTdfF+Xp7bFidFy<~?=_>P@l-0(MFc)CzeMPRA>3>>FHdm!r{?A=T%5{vj`QE?>G8nnGf^eN=W#zJx)$sV^ z9Cu(^BNNTd%LAwflDyZm+Ahp2RHUtcgG;9$YXK5r{9Z8RH*QeP63bOP^o5_h%e{8j zoQCQ_JEO#PK25eYh@e+c<%mEsiV68B!7)HF|NLX>bA z@0^&;z@s^G@suzTX62@OA{K^6NLMGW>B-m^kGu!zSoL1dB~bB?aRoT2IkXiRn1&S8 z{M{2sB7ExUi=R4Wwp%X;+2bv#dduQ@xIqw}r4Q?3ia;j0idvDZwK&!bvjDhuL{^Da zL?qc1HE@vx1+1WY0b9l4i6pb-QVLEfQ|ts5Q3GR*aHbnJG!N}L2F)bE6ehZ?>oBjX z6hZL9yMObqKXod<{$7U~>+0gHp5lZ{7&4j}#~x`O`ZjT4aOjX9wIvi)n*pG zu(MggGs|7MtHUW2?u;sX3H@swylUE?Sc>Hj)B}2Fzj6Vltxj4izI!3Mx!~>4{m(zO zKD0HE73xnL5=*I=?L;R;AKR$F-J=hR^XvpzjG_;AEDjx!r=nK)OB+#55*G?_9Z!;_ z<$6=H1qsi88aVXAlHD(y^8DOx{gY2f6*vdn9QTjoq&0}MJAxkp0EMVv@8y{fnZ5g| zZ>^7?I++b{v(DH{G${krd47B-L^?Jf)p!IS?!_H9p_=wIGsPXjI|55|`7md5NjDxCiM10b~J5Of7*HK{78S{eyvqiF|a&+$o@+038Yn`l61X95Oc{ z3^ReF0NwiHkMeQOwmD%evyTS(H5G7@4B6mjqxj*1tGKx&aU;oDL^};mu0%_^Q=1rY0S=LAYPa>yzawVPH~T zjAQSg2uA|lx;FU23x{><&Z^WQ2OjbX#@m>*hI9$R4k?+hOitmJ$i+>L#Q>{0?~5~= zHtVmggcI>yBi0xWFQs`DSPKsGs`wJ&Ry@u1(jp*!P}uH)9dF`9Qmf%;7b!mo5E^AC zZ-mTVc>k-4FBmmYvg)q0omBJ_ET~p6bcz;Hy!lgbaJb}aoh8@ZL zzUyhCwI!lD4CvNlKYC$Kx8~FjJEp}4g&(CRG1d6wz4x1mNDi|d9E_?Wo4c16nOUz4 zhcP_5H#|a9>t^_gTbG|?Q&Szo@Bb;jSJ(lTq6}llTlN=Z)``Wd=5#qS81^ruSQ}4SY~IP%fJjf6r40JHp1_8h8ls_;-Jy!Czvkj zgCY4evzPyILR$T7Z*G7lFDOrfTq@f@^L-ILc%s@>3-&i$E!M=2Kd@0@0-&H!(4ouo$?`ofr?2);qTidhqyLB(;n?~Db63$_E+dXl|w5CEi z%_^jlzGukXgB#3hYKuKnYA@-An>GA!|m(1!yg$4$K_cAV-uNE1hZp%BcNy@9;%v1 zO?;JOPr#A&Lbnbt%?gT%=PZ%cIG`;fVoyE(U=Po!5>=MH-a`ez3{B zftma4iiUwOFcaiCaycD2_O^$nU(4EfYi0@&S8Vcn*(VM0dwm7<+`GE<$u_@RFSC)} zNr*;dC^(zS+bFpBrf*EH8e5#0pwlW7bGa?*b|h6AfGir6IgM;ozbBz;q(4uP3+-x0 z7>U)@Xr0vpIptsTk3LEReMY`4z%X|y)GQXe>M zEUZ==O+3Ir+WIA0oQ)F-eb%8B$gFMTsyO^fThrlKcJrUGuiaVll@ooTtq;n=1Ltb%*$)b*tZ{msA3CPCt{v8`H3O){pVoVv zOmb)mZEfQf8Xt{{RXASVLbmin)B@VNHHg}J3)7vbt=o^!I!gzb@NDP!(c9ma725i3 zcb4p^+WPSor2R2+@GMDAqTs97jhmhOQuPe`z-9uvq1dvAnm@pn-1O$urqndG#@TmB zrFlQhI*%37;lvq9~=1*lAsxR!6@ zL8Ct-*4J}$Yn~_R|8a83Hmzi}-rZrL^NiTC%|B68b7XypMZalszd`Icly|w-1ZU!mKXKNdD zm;wf-nL#Lv_awPJct*({SsUorZ4Oi`pqHLjjh9#q4S}D}XzQYtjD*uUKlr?5{{%xKpNNQ=-k7 zJ_Tq!p3EskxmRS*WsWNW!|bm(fD`%SUIU>#b_!xYJZRiV`tpeODOaV|KczTN#IiYVq|BpKt8!*e7^FOR&$G8 zsUe~QJlCnS;zcSWF;(SY?ivsyu&Q%&kHW4!iDAssX71UC_mGg{A@RS_nAp+X^?5F#1GQb}9 zj@@VuWb&sKqz$7xLtDFsZQVRS#OMFTeQ}Vs4lFxo4Q(BeC0Wi{Bpeg^5@7FKZGG0_ z9HDSsUeQAuwe|gEX$)+wy}KyNlg#VYVOQ7I!KO*30fVmz-tX*BOOIbYl}BxTXn+q- ze9DVJ+PVun)ZK@Te%}*yOonYec5H2}h;xDRi}t$upgxV2qOG-y?fncJS|HKZJEX1G z)U~y63xg+keE5>08VhaR?Ze+WpY*1>K#XQ0XTA(pdpeh7CQ zZ5^boE1zU8_j~%Lw=8KS?q0DhY}qr3wjRmAEKm9F1sY;WDbw%UI?*izo`%wh9FjsLW*mUO^E}mO)d((kL>Rp5>;M)!X_p*TZ|;pW#*RN!;Sa{w_t7!I2r=7HQ0ou>M=fd%PnrD= zYQ|-kH$r&^t{PW_vg2{B*w8|a<{!HfkA=Yz>^0s9&gPn+ZBqWshR2IHg$l_)h^C-Z z@B#pl_k;)0sA1A_qFbj=Jbr%|UcGl9|JrcN5^{1Y*((SQLCAc#IJW>iPo@l2;-tq@IdJTTsNw zQQ`DF=*E&OcRcH9V_VDJg+b``L^ADL26Ye*hVuy_6-`ZLvMA*CK_-Vp%`7E{dAO2j zg=7>3#R#WtKZUE!!dS#07Y8^vhaJOPB86d@5PMu4#T%a15sD{Hnr9jx1S+^{-bMe* zxrgW~ZXP>2Z?|1Ntb~vFB=og^!fMlLyG=}UIyxI7jk)A=V$N~GBtYnd^5$eh56Mmb z1^ryPAEQ7dCAKx+@k@`ImP_q2}7wH#M}iR>I5J){bS5zuK^^2ajYjALHe9sej3a5gKY-314R8;hsRLEh(Xmcn5O(xJwQl zA7*XqJho;1)D)x1YY_d3G__x&r{^EbqHTTr$jekW*$Bhc7PNJumGJNcZAAJzzMI3M zxz&g&(H8~1JH$#j+trUkTO3+4KdN{x=|WqZv~}C@P+?owgkKcKFUgq8|Dd$L(AM`b znq42vf9++~wHE_w>_4@o;s5wmlWmVY?@7lVk~|^ z2X^Xkh;lwig>`J@XG+dv@gRKI2D&!2$1?FtXbl%y#*5&6d?&M%R6XAdYd?U!z?-xU z77IVO!?LzN8BS(U%H>-|4^C`$2HPk~RZQNKWbVP=pjg)SvFCHg!t7$`65Snf!#K}Q zAL10;^Bv%^57N0=Rp1~1bFPbU3|t~&I>NgEPNg`VzM2T*a=yBLPYWmTMWp)!%ugy=SZBNk&}4Q9(h{SipJYxsx0;9FA;K8&6 zW1>pAnEP7bGRdfmA$w8}0g1$=S3Oz+ipl5GunCa6I%mmT$w4mjb(U5I1(ZR~LM&rt zzKNB9$RK+>4Dm$I`3hud8<_Dc>5KvG1iU69dC|5&HyAx7`MyAS?O2>kIW_hKMHBpO{2K?0+O^JFsA z<;(~;8Ys$oj(Q!WDytu60``QZzP5o_r`-`00c{;W6xsM`y`=HedhxVxZs#0}vm)04 zk`!&t2Qrf8>$|<093gWAFQfM)-k)IKdO3zptw1k)uj=Sy;P>8(eQWz8PN_!((ZZU} zI9KD#p?KELV-)MsdePP!Uww!9t9}hd^(B^Vzc*6yyML+_s8DosXp0-#sB&rR=Du}2 z?K@qIL+L!ONA*l-y&$`&l)6WGYTugCF+pI}Rdoy6+DW!fs9IkMzlh@OKF@kCcq35{ zEKLTchK=uM(272Pinn_wkob}8lG&B;qL7QW!4Kj3vCq-Q53!u}6xzD7o)Pf>(U>jU zn$3i6cA>X?o3C6PV8S=OnID>cilBYFhKqM|BH=ws)hm{nvLU;i;1c0(4C!sD^0Y9t)95x2tndk~1Z|B1 zefJ8Ex?{Oi(5m4C%Ap24 zplBmTkJbTRseU0de#oKlmf6nOr{A<7q@x_Jsj&!Yp?83+%d z9;|teX;h5bxacoDm z_%Q(y$lQ!_&QjN8fz5gkbJZKvm`Rj@dZ5>{3)CoomiiQlmy2zuGCN3iJDeiUijU{0 zK*GGMlLiHf+VLlCtpfAG=^oGD23&~g2wDtCI8yaR!eCBMEY-=t>wa>_P*c4+B!Ip6m$We$A?$?F!nif z($@CDpQ71*GO_Y^i@}jy>aozhA>)T|`&kLk6Krc%-<~4kzDwixd<#a4-QD?&hQ+>h z$o$O%#Y(tj*L8o=PiB)8ZOwN%+FJP>rL&4#>v>a_^MkUjYg1I!1NF)Xf8|)ICzI7b zUNUFjItm$zB==zZ`B#^6T6#5ZEr*j>ykf~g^7zYOc40@&4xVcr;eAQj{BL{9_@-pJ zcXi@noJrd(2H}zYw27I-=|>`XcWC(-F+3MV!Sx=B_`x&sNb8swEV4yGe1P~Xo*{B3RfGauykqoGGjh@{y`BpK!p3k~XI!Igc#23- zK5?f)>M0iivv=iy4iGO$WVU!b1vouKAs(Kq5ONNt5M3b)!I%P5W?d2@$b-BEP*n|Q zd=fApF)y^~DRRRa6x+)M(#?xjYf>J|;S{ePw~$Gcfs4Wlo@Yj=Q$h*33?q^&P_r-$#?rIujmNjrtr3ju5RI}Mn`U;CD2GLLX<&%c zvAWm1g8tyL!B_s=1#dWQ&85fx>UTf3>36n$>9U(n{B-E}%=d>&_r4t+7lE?#btg&W zyy;siKG!PtWpfr>GkBgOtRLPt7+y)I;!{z_?WDQXHUti{en3=xXzO@b{J6Q=`qD=j zD!Wi=0vGR#+_VxNf3_ZN?W4Ay8$q^pk8JD4O1OpFUOjNN zwsqr_*%e=X<$3pf{A)MA`afLqrjPz<_0Qk>7vYb8{%;=L_H@URQ%_!c>yKWhi29iX z`w?$oUHC?PS7LKbp{;e8D}AW0ttY>DTIF!tn#C5#v8{{DerPo(8_%|iY-=mDb+~VH z2cLER=@Pc}5ZZcaifz3F+tpjo$Yox(b(K!EIPev%Y!bF^^mslp#;397+SYdec-s3| z*%|xRoDKM{L#WGt@b2$F_WK_me9c!*?m7Fn*Z$?lpZ&pw=X~mufBcH6|bqu{_B}e|8;PsktB5$c4tH94RglgV(>6~7HcOgfy;F)ahF$6 z^e5m!TMG)?n&xy6k;779M0&un65io_OSU!itb{ur06)MOCN_`L_Ug7Z7ESkim@z+i zM$nn?o_IndoBFe!OU~(C`z!aaS^N1Ped)_jUU%#Bw_JSx4}Q@8Tc7{C-^zbk-Ng@u z(ophEYA&|)m8k3|dV5@D*JLnO>iInhY;+aR0HPlWseV$#fseJ;FMEn|yRR{*c}3EQ zHO9pxp!gA`h!LVeCijBC_KRJwQ5K18A4YtQXLFKB+Mz0cJ6E~=7e|Nx;D^I&|IIIs zyyL7F_T7BuHNSK5`D1Gj{QF;e%lC)>^3E9%3Ru!gHzGk0&j@Aik%i=HWcO$?Dn{UH zg3qdjyBPTumw2OI+#Lnv!0=`T#my|1$Kv22kyd4t#U8-Z91UDuBIO!Vt3pL*$rhh9~?{fpoDi{~!B>Oa5gLl^(&PyOhxKebueim+d@6SWD^tttv!4xuAL z9r@@$>dIauj}(-nx?k`tCG&7oy!x4x^(vTGN-63aZnelZMp)oR6(>RAyk6iOC#96u zm=Kf&96(t;WxmVd%9XZd-(LTpKehDg4d1@+d+%TSM_c~F%AbDKTRN}(`&%o2|DjKb zx}qELm3~sI_goc1KceM)1H=1}SXx6)kjDTOzEc^J`!v*vYJ4XhcTugo38{^Q%r>wf>C zn{U1R53jrC;rl=SyPIyb2OhVtY-vO;-$H} zoau9Q+nSSLRptG(iAY!1*1l<5J22NawDlNCv;;}i)*^j^tAgILL;dn1aLU&TaC|I@ zE8)?$PL^GJ{tMf_G5)2S|Jx70@VRSl-u6dNUU$tOp3?nuXRWM#?&*s^qag}=l-={> zaxx}uUD1l6)-0}7vGFw4E4pkIDHH~?P_rOy{m9M^;|lRZ~k7rP{)T>$b4?OT)Aq+weJ{amsqith|P+P7Y%`W*?wVi!vlrg_ zm1|zR?bq)=_kA~fWbN9^Kl9lS-@jz${!dXeyH4c}=!TT#poghA1SXLAm+qTS-D_UxizD2AXY1t_}j+nm^*_y$+6^|}L~?%SL> zefQ#?W1b0ba-QSRcRB7LRkSrV!8F1UP^(31eWh2mCH^MF_ZRfp7-h`T?$+xbes5cC z?du-8;_?e_c;8!3x#5XhUiXuckm@D*FJQVmGGj$_t?z1eZt!LrskM4>Cx0q>q#Y~U($zdopsv5%_SF>ZeWDC z%jI@pm(P12C=I>{Z9SxMl}@;ub(78aM7L^$q^$J2WZ2zpPN&RxgDYd)TfcSn=Qch3 z8lP)lRr*{rhg;`o&Lfy5`JpJn=n60DL^b zK3aH+dt6tRv#gub?J$V)29UAFD+w;u$6*CibO+ITE-~_BA}ui9Rzj z1KeQ{zlr zwAg|~vJkj-5>Vl=YZ_IwIMC;mY*FP(3vW@ttm|nyvoI{TN?lGe?i|EQpr{EPimx&Z zT(KEBIYd%G&{^~W+|DM1Pk0O0A&vx51TAyGBjH89!7v0SG>XD`Fd|wE_`C(Wos7e? z%Lg*m&J_y5AxC;sVQ zz4XIp-SCNj_tL=|e|hEZw?6amA1{Ad(L7!p&!bS&bdLZpj2hk^uHd<81ek3;@?fgNmLx0lufv<16b?S!iJ@u;p^V;%v z)_vhmhTf{0n+s`}>s!q2L?C&ZW0U_Rm$ zUpyH^%!^vdHcBA|;P+fHVD|?;Kk)azHM;MUUs%`G{-$v5fiJxCSNguQ|IL>lSbC~` z>+5Y%MpqP>i)@-vi?IPdN)Zx95X_V2Fh?+{aqeb_{IJ_-DdV!@xTz^VIexh!6!wtQ zaG|}3O!*z*;lwYl|3lRRkWe7uQLm8@dx*K0I254#H-R^CpiMvW{he?9{`cT_>23>zkl5D@BPs)ou?Q; zkN|niGLB*17e?bvO?z@pR+3MEG}_sb5Z~3|6B8k2c(xfVj6?>CCUZczo}0Ghs&oN; zqA-gXNcD-rYSD@ySq${kdSsIoTYgSL3#(o6mER&-Mq+sl5wy-zeEvWG<#V!641T}8 z{l*KXyZ3Cm;$<&y`|P^4Z#wC`+yBGCx4ri-J7UCI=JYke6zL0rX+;^FFgcFvutVe^ zCfl_2iqztLnj9n}D=l?Qh4l-1@l*YtHDCcu=YOKG8ZnR35gKcpk#4aYKi3y35LI}6 zxBiJj2Be~?jQTes-!GHW7@ey4MB&)S{$X3?ho4Ti{q8S(!?thz;_saD!k#bpeYX4O z-}U&H#!I)v9{>2`?|A5I zFTC}F?>xP}_6w_a|LjHIdBgE<+W-7LV(oU({PIJY5BFxl_I(EHjHGh8D%~5aVu4^RC_b{Cwo+xF!oltVG^0X%E zz0{s&wUs?gSY9@4hfZg>I|@kf8MT3C4rn0exEe6ka5T%S2F7t|6kHrAb`#I4NM+vu z1*>}L3gLCD=w`J|-+klF-~H{^{(9TG54`r_C%-cA$=9BFf7`mNRz3fvRZBkgc%)%m zj6CsFr45(4LZT3q6|!7FQ{o0fPugq&Dv!YBxnh14SC;~&xuTfe4NL$L7wHtTC@5*C zxxTWmg7REV!Emc2gez_qKCo%k+UFj*|8v*8?DDODamrt=`}z}G-gM2wx13_@KJd^5 zchPR+1Os7qBVI);+YnTvc}+<;t^z!IOgWejxlMs^X*-&D0I_05CwQGlWI=qg(0LYz z>^U7&naHaVW6A1%n+gSy2p0pmvFM@q9P+^=4Vlc%nj%rv^ut&JDp`l-(C03m0!C2P2aj=>yKVocjhObIHVXrAh&&Riq>XGNyH1C=_c?Z zITDmLa;6i)T~-Ok0&Rj4k!JM@GlUr99w<~*jW1=$IYuGjPlk1G5vq}H3->U{jY0Qt z$YOw!<*m)yTerUMtcS07^#!-T@4J8Y$O-S7IdI^u-+bbM&u#kFb!%>CwGH6sT1z#a zMF ztkKq^D){(HQ)NfEpf$+a;ud}?UQ>$7WA1%dPq7-y;*OK zpIW`ARIHcANdk;#Aw;K^muw$tYvEGwImVyX^B+v2Obu-v)i{f=wL*VCj`oqZW{tDp z5?L*9i(k>2S%D_u9UHyATZ6cq6*0UX|F)AY?WVRa0v5cN2A{K1rOF|kOTXwWzf zvtjFbz_z;)vZ3|TJ!>r1Y|M+QzX53LF0^%t*JGD^z8lO|?g1OS_a!p_sb{jrp&&m0 zPv={+QJB@tVwS>e2ObS7Ey3Qlk@!L3@KmW(-3Qb7u?^&+0a8`mv>&@;MS`}jm&Iay zQ++LX?JlBTf?T((u;rC%QtEEIg6bZ#aK%02dF6pNU*h+Di>jIB8#2xl4JNx*+91Df z>+Mve=sqs7%ATTRc6?A1#3_CV*JnM?SiiLOva#~UDRv}B7L#jEINut{q^;WzSk~5i z!C3LrdJrhrk?sJ$@my$Yw|q{-1)Md)0tIJ1Sz_PTNtYgcHR>&N4_j>|{inNak`>dw zqcRy2R((vc9IfhSi$#M*9jKuQ6?Mg`oM7fs3Uzc4j|QK?g2WXMa$O)o;n%%2o@i?y zhcXLO^|-F&jPsaOcDpLAQlLZen0xnij}uv_dn&H(peSYoqA)6)CMlR&opRv^gu$6B zvQ9?Lx%zTrsE=IVnZ`NT^u8gR9N?t3KDL3n0bD?wQkdv4d%8Qffwcn}{b^zF zirIA~2cag=QVA;wd?3SCmqDM8QQ7;q&W6-EpRH-x6B4nTQ9xHqm|Lp<^(L@e6Zg*S7{AmM$j78^*)txC!5A0|g~(vsYu8Z4F{uHQ zk|u4hBrt}j@C1*hv`IdnN#aM`eA0XbrSB;TvEKK$&%N`WWZlsGGxz6{eNWAUGl=a_o0kUT~z>CODaV_QkaWO*)vH>P&<0L-o9 zN_d7+zD3$vb8A2`;Hu(V_DkIoxQ7t>u>T#OmYssaY9JK4Lsqq%wTOIWGOuj0WGl#h zrz3Y$)>k9ARiU3-t&c?8aU48rYq!Rnd&oFgVs{?-*AVZM|e||avtZwhZ27|0Tff=POYcn{X)Ex3T5*qMlYwvESdTwEU!gdLq=-^ zzNws(ouW9Mqpsgv7H(Htk6)a-lXVJUtsm`&ANoO`-Qh>*H&lGc2XLi%oW}6oVV`g)=zD1E!O2~h&Dnqse!;?Df07HL$eN#r zT@}E6&{H0)$xk1`^*mRFUPbLcO(hX31z#o(EqcZPI|bT089c-=%e`k=(Fp$>&^r|7 zitk^}V$sFP;Df{L!*D7m{rHoa-}2XR+^Sl0*T9So5Iu+lCD?_Y@QJ)PKQLy!^_^*M zmn0!W*tawf&w=5SB%eq-2Ztv$zKhW4Je_L#5?USamp zAf1xshTsK~1NT zsAe^512tZ!cyK4edX$j$$nNzq(Q0xdn1c$x$unkfv)jKpZ zQ>$Mc0w_g8csuxw$U=wF<;?pHJlz?cxI{R`*HwPrh zRSNb?g@&W9CsJD3@C46wQEE$N@Vw!ht~-^PNrcKQrncW%9dd#+xO z#EL2AlTkNU1C{brzZJY(Is^ZX^jC$r7vqJN!mWfD^balX`>pBEo3-jiS#RwbeC8U(iXd%0Y6p65N$Pi{Sv-^8cYQwC+#O<;zAB{nna7Th%2-^e zf@QMnSoztlvHlicCfg`@ZnI`Sp9F{K8i}|2Cm=$19`?Zbs?E9)Q>@r}KL%f?I^w6x zz1o_PncWa(?44yRkC?n*Y?YHE(JsSvY?Bf%rCwe=Ax3HL!1V(cF#-SrKU6M9rx9SG zjpEqcd8`@gWzSd^q<8c;&ITXM>BGn=oO2V4j_;GqTA*qNA|)S1*NH(?4T*}->Uab_ z@tx8$$Pjq!%0~ocPc2>$M3R7d*$SQFdM}@$O|Uyn)#*kP`l?U|V_aAd^1In%^i{p- z$*=s!9{5?QZldknMnaI5`)@GW1bVuX#P}49j|4oFozNsFDXDloB6Ke6cI1)4CsJ~; z^Nty|Sv`p6op7O=;}bAbnUgC5FEz!MIG0(g z<3*WwR>CJ)-u5GC>$nmwZH={IuT4VUt|WB*#5olS()^fl{2D_&iBJW(3MhBCnO!i; zuA8qgQ5|7G-=Z<|BBUD}q_61VY~ms3c;zs;C0>NF3dOj=V|AMKM77w_R*yfy7mB`C zB_3<0Hj=s{^GV_5?rQ7u2A-0zpFM$;ub^}mT!xx#5rl} zuUj?H^_&_DbL1r>HCNJE!IWGcyp@IYC*kf7HjUb6Cevj4(^ZtsKhKIHt#LEScv9VD zS^xLbto?UuAZh*39NkG?XDJU}(Xo@WHXVLS(F}fo4(G36?w`S&ip4*3g|zj!o&281 z2~d~Zap&{za_n%HYZuwKW+nXk#139mUa>N@F~p&X=GJ?(?)@ncyk^@?#Ye1b0B7k} zZj9PG4+nR!V%?Xz`rb~nrn(QEr0KNbrSIy%g&~D@zg7X7Z}o5PPWtE({KIH#<%FnN zYX5Bej1Aq9Y;6z1ocNpj9=*kTdiZGdYeW=5kcGg|1a0k;I-;{nCXEl_&W<-(i6Bt3 z-2`pjOI<9qilj(Bi?eN!SQC(R^2iwR2H96VU1ia}X2Z>z_@sfbq)-gHC+@GCH#ZW( z(akL7tVUO28N4G&Z{e9sTMK&H58IVoB*nz_@8`jKeDt-($5=DCZQOB9osyIwmcyZr z)9VRJ2ZNJwpsr*{~wG^U{n%gv$D#HjFF z5#TM3W7OZbN)=n;xAf?6-j!VucQ!{$gwphSbaK~{2&ahSczPn$rM2*E-LGmvK#9to zPa#MUfJ=eo+ zYkZ_OOi9po;JP|3>zZH&uH6kwQPEq`39l2;;-kqRKTo|IFCCac)rs?S@Pk~qlbsnS zO}HFE;Ni@B9`{9|kl~V8TOxXSxbX6~6wg-~xGo1GkR`Q_j_6z`yd^2?P~@n2n}A)t z^K|b$7xSLljkn!)(-(Jr{>r!i#%-V9b<>T1c*SSm@Mqia4cD0#1$dcy8WMF;<)=c@ z8_nvSJiihG$;v6DMM%)rxlWm_KY=IO`U6Y~TJZ%x+<{fr>{`ZjrxMPooXY3uBk*o3 zM}gnV!oPpbQ}6!a^GlD(x9lJ}J^3m+-t9$=OZ7tkC{hNrd^0+;3)zn1+B8jG`?TV~)9X&`Ooc&6k)FJsPLp`om{$z3o@8T=a4FU=76nN}AmECU1w|YT4Wj?@(0( zE7!&bJ$-DtDG$Ztq@;sa6wK*$Z@Opu#ov6xvqRhVpLk?5#vA^8`zMb7$?=V>cSqnI zIvamAMX8k%K4tE-h@KD9Txwe9>i^dr{ysFFdMWgwFGhZ27r@t+W>eQ9PFVM3P{-%t;bf=}c{P^c7cX1loqXk6 zTxRia>AF7H<7@}xiWUWh;8>lo+OA{R$9huh8=;m_Qwwta;?%~CpFIAC@4Vsm6Nj&U z|Naw)w>`W4n?Jheo>R#gMKfoAb6J|}i&CFyujBh;3`88>`QLp>N9xumZN|UD|2Jz5 zLvc}ay2;Zq4Qp-t$7H&@EF@MI+v@iYX{C(>-zWUmG zPM)L#ilFzPonl(}C>iD{aAj!pC1X%#jZ!V`b#+oUihHld2 zDGw1L#JpLD+k$~29u7jmmwAWf#(nA}l?MVK<|&;nC3!^H`{eA!zw*~xx=uYoWF+OV zd85lMQwk9D{1{0G{1TD1Xvh-3+VAF*ww$JdH!uVB6q5xGLHHJX$<${U2 zp1@@2N+Px9uzYC3IUjflONg7~Wl1k*qIr(c(YQ%I?*pHz(;C1BJIN?CL>uK@#0ZK6 zYG^I8S$f%r{_VH6e{}ff&N_iSCqd-VHu$YMNZ<%E)Sm_Fw5J#8WjVTRUvW^VP|B5A zzCKU*A_dH6I8oEYDIc)cf~9yDp}gZJ)pPVpkau!>^%Nx}HW)_n@9^riZ@r$C@TQKA z4KAHqJIGEY(_sL0FxW&L*r{=N<+BV)`z`($ZbtMA43^YohCLg9dhc)F`~h;D_Rx1yk_okKD5;Avw^m1WG614B}9YKj>&LB+3S;iYVbUK z5^hI~Gt(in-;=M6+{}TnYuj8txf$KpYKgxWsSVFxUGRN85NZn?d1>p(xNrS!Mhj?b zod~m?QCoYyX^m!i9RXT3*5uOm54m_)DkRQlJKtl@F9?)SL9zWE?|bdv?%YwjqS?0- zp|$^l3j-f`hXu5Cdg!Udr_~Tcn`0Hax80Ky^}{}Wh>IUoaXX;zF~+=-Zveyv(4n`R zS}Aq+Uua01#yd3P!7KMhkhbJD^Melu^STaXE4YWdEr7tFlT1jifsU3bZxdmhPuyPRZQbg*Q!Dd(#Mqy0q)z7UELADrOb1V8I{D8+Wp3@+fy& z9Jb)x%!NS*;GI8oBaP-?%BX%k4`FjJy@%j|eyWrz+CBUpNy@W73Rr-@yX><5-}|Kx(I<4l zfjDDr9XOvSdAD`O0>y3gpnQV5%N1_4P%L#IT3K-?2@v0ExV zqFENRaxG~u1)@O{KtBL3lIidjeM)12b+QtDyiD@2fT~?kCr==xSP2P7aAXAV`B+#K zZs2G5oDHY0d*{(V`TP&pMGiW_C87&BS*GbO0d%78mR2bdhj|PoJ6-8I+oVqybOjoE zsmUsyD894NJ3irTl(xL82;B)s6#6_83|N48%Y{?^31KC(V%qv@komv{61R^BVJ(B~ z)K!lZ?^$>0g69B&(*U9+=DL-nEl2OTc>!U4>7ca=@pz!ja>cav+bC=k5(>mNn~FoW}e|zWIiCZT-)`{rRu% z`psK@Z+)ldKSU7vdR>SvFyJI#To@QO*ED}scl3f}=$jaXTh`8!n|`3PLZ zDeYY|J9X(l{o{*msg5!8t{beUNC+GOa`c}?=JY&opx$-x33B}#yT1D5EnmFti(mcp zGdJ$v|DE@pwy3mKi~qlYVmd`tE>u^W{9;CjLTOWg2KQdRv7?oa$sInDk6QXm;Y_9d z@I_}9n>Fnti&3Sag0QKcG1(9_zwtEXPzEUTjvsg*og~@_y6$3VT?!K z|B3&;{nf9&m$?X_+A8iPzo4>Oh#EP@*YjJ7LIW>*Nuu~9FlX%G2v2lodDLX*9oLBo zzHr1Ssx6-7Q?YTL2}v@*lJzCv?aU{?cdacpYr;#!LfM_E!={UV^t!TyL}`Z0usi7M zp8@~IdrzI(uB+RAc;ex0WPJ0x9(>=$AJG+G3o08H1X-}QGdCs~{g{uFiXw??W*par>*m+J>Gb^Zk8ISM!l|R{t~*tEF*&_B zw`Lnqn#UM#(m}U*uR_ub7*%uFV0}34qmKhR5|UN$4f;K{E_=Py@KsX`KdC%gFBLG) z$lG-+2Ra)t?j+|-?e`qLJC%f`%vdl&U4ZP=nSaIDe_68z(flnW@R`f3n12v9-%IAg ztFmdLRbESOCt$0=cx}4fS2B2J-KmW~ZLfRNy{G1nlJQH)|GIx3SXhXSnrKi<$f*@4 zaI}VaBXyo}hWP1l>}@ww&ajs(zW|0GAgBYHw&<1HZ0{BZrPq+*QF6_CsqaB)_p$?} zLpcvIQ*LWFYVSw5gGBp##cAi;ld130S$r~Xn)~U}W=+&dxU2nKXu0QlvhaqAv*#eF z*~^#(yY)B2HLW%T{wXYPKHa7C(=!#;%}@v|BeAA1|6%(nwdhP|$&7eF_9kl$GgD+2 zHt-2oZ(hD%sBq})A~K9TGH&g&%a4<A~w0H}P|bxpZEUJPwKUd8Vg{yHr^u<6P~uw3#z5UZ-5H5HYmy}n zh!r;Z@sye3aAIFz98}+LTmyMQs=H5g9Y|)IFRbU!J!sbaPUgD|6;Dw2+6Qr7%kTNb zHigS+%U4m*wB4pTXSz6$CU6HBqxSSrq6$yoI^u0!xE(M0MT2HdEnL>Dt3ilg-oVMX ze_Y%YURP~hv_q4HSF1kOlac#F&(qapZhDgSj&xC4?Ks2wDHD!)6)+i&VW;)*iQ{ef z%CE6MTJLkbBn*?Id3p^~sQQ6zMW{b=^=NqP{QiB(2kG7$J6j=abCExclxE-Nsls=# z&{xUKY4kaUhoC$bCm8@xCR9-47ZMrrTLv_1u|?ir3Z0`bc>5(m65>MLQ#T45B|s>y z86|Nog(^hWfjGs^l&;rL6FzYp#Ge@T{1#}maD)XH4nY>P8?9csj&YQms8bz}Sk+Z9 zW03kjKH83>4e^|OxlFU3X&wgYYZkzlwh&U3WQv~Xq%;wdd|^2ya-7jTwQ|^nIKz^I zf?hVa7F$8gXUcVpA5f<0vBfo0r6QD|vHE@dy5PH(PN^d5n_(I%_l~$zB`w zw-7QaQ5QDSNoX+|l8(!^%bK;45Bw%zb)Vax!6*VosVHWrmwq=I-4jF2vXjjDP6P@EI-?E1fCvN>Dbld%ti0E zrxvBMCj6vw+p*N-(*-qatyc@U%~L~WAQ2u@k%T|qFP=fj9$bKpa`qvPj2_b$~31$wl# zFVKCyg0|)(_3(6Z*s82Y2F^f2pN{Y>P_rg%u~~Pse-Bf^Ypiw59Sj3#>p)DdiRE6n z*U?lSNxa>?0bSEqvc8mTEN11qY}PSosA<7E&_$3)8G1rkUM&A+?2FviSWP)c}5IYfB$Tz`XF%ILw&3`n-C=h~Z>!PVv(=hv$k6Y5xhlKu?flz+D zE2QOAqpMvOxdh6=6^bR^MU1wtbAd<3$zk(D=X+gqpMU8hP`<-F6m9KMU^cx(TkAu( zlgjMekIALJb>MCr<4db?q?=#dV`&(?z@E^FEY^n2ez3X6YxgijZT;DosgY{d#9rF0 zS#Y6Ae5`lecHhkEcWulZ_kyT__!&!P|2XqKKX^K`_U+(t5ymvwFAuRtEA_S8-;ews zW(98Y<4LdoK#QoGvU+zV{5O{oyDI)ofPe{Dmapsj6c5B0~Bt)e%7Ch)qN9cH`} zKH<$iXzP0$Y}DdQVqH)dA_#i)jgt$#z%&en;LNEn)Y^=?FE6XPPDaZKN%UG~s9EEo zTDTNC(uw4PxPc8YI)cs1MWweO3*b@=Y1{}%h0q0P zc@oSTRDM^cY_@~Ir+cgzK?2I7roc{UNEI8ck3Vl?a81bS;7XKf9^|nD?w#s#sn}#R z%0m9)%gM5KP~5-|K2u-jyG+I_De;#{$TPC=i@2SPE+i|=wgLsY4KzG`8fBkr@jT$O zDc}=0sDZ);CN^N~mTi<@&?u@zlh--GE%rzMwhn7!6Lf!X8qz}*r>m!& zb~ot{QyR%mI=30|+Gq@b#!}NlQ^a|02zR)weUVLaW-7$%GVh<&9U2^Kag5^=)NqZt zb#Zhr!7r#9iFyZ)yd(Iit-t*?H?;Y5o}j=c?AmRTO}x)3Wo{jcODwb%18rS1rmlKF zI~Om@IXs7d%_ln>NJ^Xkz z8QDjJ)tM=saJKyIHs(F^z#YFB;`RTUThH$6XzMAo^=Ox>KGrkv6-#Cw-jMq^x8|k| z>wE~ec=woA81nYSJKXT)rwI5OoVAJTV9@FhXACY^0T0HoGJgF05gYmyOFR*nTU)`X zim%p(7HTaWLaTVV%F^3bp{HPmj?Nun73y=tV`yty>w(9ZJ6^>5TPNuxerH{-tz7^3 zajI#lt&5T7>(@^%kp3|QPD*j^M?F1H&377}oKV+A$yUPvb8Eg(m;~K&Vb*&j5kIQ;CWik2{txqBUeVT5VkTDZlpg=SOBVa z=hkWHO_PScRrFU(H#zL2L(uiU5B6}C|aA23<$-Scp7-LGy;gTOt+`> zjjVT9KD%BmMNzt%wzwdRezK?;q|k^5ay*V06vtf1U~OdrBVBMO~n&e!b^8iT!p38lTY$+o%HEwQK_1MMFajqSy|Xb`*sN0v^o;{OBjRcm@Pv=s^|OYywuI|4 zC!5Tz!z?kwFon9+rM7#@X(c?nZ!aD%aLGWattr673~g=xM9RfEm$JU_s0-7Fs+QKi z64Ui}^3dFR?joC?t~13#ThsSkswrZ~1EJ|y#9Y}BqCNG-Y47GQ%XNx(@Ccp%leQ7f58+dmzp-=ZZ&8>BVqzh6#23u1{n&wF>-|^k!PQS%8h*@Y>$5Kfz%EijPFN^R|$RsVQ(+g64_dx!vrYYo}1^prWRgi|tWfV(?5+3z#fJ;K!fKG@(e zeb7ocea=MBWBFXapYUv|a|Fo^xK4x>9Q{ntZ~?jM1>Tiy#;cDiS5_ zfK9S?QDe3iibUQk0DKTlTnBzxwvf+x5lcO-(knFWqF`TylvwbRJxIE9AjR89uSEM% zV-gRAj8Nk9aXq%7%6Ry^a_EZ6b3oUNp-C7Yg-#K>k+?qmN~m(3Lx#}u)R|2wA|y4^ zK%^LQK)*GEJcbj;0+~>{P{-oPtV6kn=-J0>1pO|3!o2P3L6I_Bo8ZK`^DPU(M-+@W z#^^d$d)8>ctZ6yt!JOddzmDO07+zrKrwMC1Da)Fnp3}C)dn+~jI^B^gER@7je3xZ% zktm|Co}yKa(wH>uv1j_M*6MXv3Z)-{%&oO=?RA}BZ%b38lcjpTgPJuitW}4OLds-> z-D$tw0Vkec_9e}(t?2ZJL2vqo#?ATEG)sD`f`{Fr{o#>t3~jBuKH}V(zKU7cI@^Qz zX6>uyQ&z&$_FijhZoLj|P2p!zD1G-1r+3^`Np0_i-rn%VEIoMyPX6k;kn>{E&O0H> z+}fe7^B){zD%{jy1;MJxAXe@c;kO!uAdK4Uk^gN(=LoN#edn-29P$B1s70(H=VMo) z$Bs{&tBi!c2q%9`Kd!m8`v#txTThO0|7hz98-JYr!%uzB{1hwU5_g3oIRdzKlaIB-!ANlbJOaCP-f=-rYG3vk_rE< zdcRE`*fNz4tasVa7ssqU!5H)>effwxUZm;f19{FIHeug-fi5-6Tk~xc`zicRYBdMg z@Jw#b>=VuEK+Cpm)otl+Lz^bxTSG-63_)w^LMBJ0{oH1w8#I_@z4gn?O;IM&*5hdF ztoIfAWD31d}gf)P@RT$n8x0>=-}s2bFYV^_H#cibf?KcHoh0%Lpp#VQ9)~3YW7gD1+Zqf=h(R0d=^%Ti(B7NZIN*Ny)nP)c1Q^fc zu?CooGz<0Ip^Hu`#V{VZhzT6Orm`RSEd{4A&=*s~<|);pm%e6Mmr3ZkVB8 zTT4Y3Zh$%Ax^5C?zX&lDV+6z;k1)@PiBS5j!PIIIQA?Wo28F~CB3Ehb17L;$qTfVq3FkAkjvNX$DNW6fkuI+PbZk@Ikje_))aARF(#)somY-8IN;= z7~%bmFg;1C9D}ze+ta+Mz@CYDH6cN9XOd0plv&TeER+T#f0Q}2H3&`9+`9TWB0yVP zo1AA|ZEb90T3btys{%OFcl5A64GZpd3_t%}W|MDykIpjXw3?72Y1?{RkI!|=?DQ|j zDz`FK39@}JCz;|(ICE=h>+k~g{avq5GXMVdE_|=f**LUy&?&Peb8B)7`qm1A(03F1 zqs*hN%j;T#v~|KF`raf7gV$%-6Ng>>w?A9V)emoefNB6h>z?|aPW_U{xgmE??4`B6 zqmsJWt-;;#4V%lpvOXA+^h~pK3-#pxRx7MMHC9x6FFrS+r6J7^*nQf!emZ-uUew|# zvx}(2LpR!#w6*<&L0Rj#Ioo`oc=fl~2GQJ_GNoEU=0aX7zq>lz>|y3?C*!*u_&&qi z&s?X{tlIrO+p4YfaK9UFZ%%yD+HGI!CLX40NNP23T*>2WVEsYnlls53;i<{A%|Q&Q z1bcj{jGh-;tdHfThL#GE!s-ybN0?_5nLyQvx*$+@rO(nt>v_yEsc+?UD9CaLRm02T_>E?=0X~{58DK_2 z!jYJbo-L;r@*|MiI~+4lx}Bv-ovw>Ws~e7GfDW{lJbfS|0S_D2fw0aq^zqi~AP>bEKxaYM!|&Za!>sI3Rh1(jOB z0~D_|kc!jQAhIK*jP5MRk|A=i7cE&A6(W}mi<9g#r59I*#>38P2G%fi$D?(WZh`oH~O z|7Lk$Wt2J{=u!gy2}-#h!-q2-bjKJ7#8aeTc)wnlkNXcHF6MRc7MMq&D2sr+N&$HuYpxLkNeq&Nra5iqZ9cvqM|cdDEaUaTX3JvSbK1fi*5!$`^%dWy=Ao^P zE00|h1wC-tdP~3iI`g_1TVHZ-cC|HyUv>j;tjraM5y#ZSJz2i+fi5 zKQ_Ww1>RrHb2w(Bhow;2_scgNU%8|mH3%KVz3^|eaU^SQ^&zD55qc_-JTe0hCh zhu=c+%yK|--=|XNj+2Q?sG7{JSqaxdkwtcg1}kBpd7$6*>`yH@nWn6SvoQEf?k{)A z$>y_`_HbOm9q!M2+M9cda@?obSM4ApH7xWY%b@-Cdw=vz_v`;)iDy93SFJ`Zs{fhl zj4z5u)_V`e9L*>je997yY}?z`c^!&;Da9p}mRf%n$Dt&3t%~QAS#Cp*qR5&bXD;&) zH27=;b8E(>AGkQn8do*^(tT@{$T0ehXEH)3i|CyiS0!WiF-%~Wg>VxN#!@BhpAFuzd;UHbng-8Q=Hu3QCbnM4X^UTMlVtZ-z63|WQnCPwnoNsiox-tAH z6`1l(Ttd(l{I*s|jiV7tt+fc+)#hyUr3 zcm3-A|KlZ^EpL|Mo;n8ELL}68L)25f8k)Zea#HVDOOJD!%`zlJ6*}&gyss4lpzX2D zwJ)y6oB<$$aQwIGVbp=X|Uqd?=gR>l@VBV8>(x#$H?HtjJEreZF>knC_$Y~}Ej=PD4W#+)6dPt)rOq!hFeh~o)eIutxfyZ zh2yl4R>Db-Rpt+{*PtF~c!5;MvhT6G-}b$e|GlxqzoV_wtoj1JDCMl@y*6#;{0(DS zUqEivo461QH9m0v>=nnB#5|{U&}R8c#LSZC!kdUhLR`{=DdFYyV&N z;By!61uP1%!{LLymz=rgo%g+}2dOtY8kOH6 z-!(ueHre@T>*9)Un6sPtu)_;5x$o4gio9#Zu-kREUO<(1C@U{_@BT2 zC+F{f)y8#8yoZr@P^y!Z!uZIP74NTR($*2QIPnw?k1Kmpm47Wz-?%QfH{8cz>kae` z2a=@m<@MIbZ6M8~v%^{mM{jZMMRG}Xws@{DYqApVB3&hL;al=iDk}AqP8*@&cV2AP z&ir%D1LSENQ-Q<7sXMxv(@d?yE>EeNHhQ2K3N?Q=kMs4{P2c@H&weCX!up=igW1J(IT@r64>-=@W?B^1u2YpyB$wKldneYRo z`%qRST#%83^I0bS0G(#zu6!bF0}aMiy9DAqkG77{Ed)8KC=5&iR>Bvun0P#b@>R~~ zuq?m}pL+IZ{^W{{zp&s@rZdZ?-JBYq0Bx;l4D*fXEDhQDEhMre=w+>bYMHl_>&nh= zAwS}AQXw6P`3yMf{K9(qQUwM6qy^hFV7bF_1M%WHqzN2-@~I}~9ldVqaYP)Ri_rJT zrWZf;PZr$}$Km+}6o_kKQO>4p4~kF@ARo4j-h81PqUXwnw2T*uq!jqg@e^>dlXujd z61*N=l+sJ4GVkTBM0s~N4RYuX4WK2XCu4%;z+$42v;r}DQXk*pLfS%J2L8n#RKK$I zN4#g(0S5y?DMQ9w^du=s%TWmO)UOdR#A=x^mX%8!7Ov;5`)PrSw2lQ>bvPAo_^P zQh5HufAr(uJb%gCR#4KC=e)*X>uiL}Haf}na!l7N0O;Y}5CxErH9!*_(QGknfTphW zm`&P>s=GeKAsly;#UhlYxyI{%GMsOZ{>M!pKD*|xIT^n?<{D65j>t)8J z9hzeDY`r2BFT<$o!1es3sM<=NX;iEUp_5zj7(9kZx?w0rAE9d1&lOl+0`q2%zVpQ; z&6?H_QwqW*5)^~piFGy_d$6(zW+T32OKo&jsDt4t2KX$u*n**iEG`m-2E`<3hSn{1=0Fxb{Rd#aNdndo%sYrOou!VT%v{ZGb8k)|-N74}$Z_5PE z1v7UdM7$ALn%>e_U7g&3<2TCByJ^gXogaqprMG-J+gqG0-Sm^H3mq72N`SHKx?b^l zzS(z9FK^bJE;c;D46CJBF{PApfgZ|L>1i*KT`={@YX(j@xVU^FSD!0do=pUR@hDt;Y~{qlJU z_!rN7_<>}3vnHyu=g1qCCD`Q&GF@*rCN*huqp&;|YC(H*q4$U-*wzAn8fv4gRO-v3 zmVFO(^);9dlf<56--lV9t^=`ztQ6sVGFT(o4(QA2gSYT{sbfn}pVrI1Md^961Bvzo zZ*0a3|9%5a&WdJ|>}Q5kCvLc;>fM8J>8(qu^PK1T-{{H~(_jfk3zr;7%{lTD4if+S zGf5UWpxe_!Vx%?f&io${ozXcfCmQHVa`vJS^x%vfm(}9md=H9*CT?1RonjQYj{QAMB(g* zJ0n)4*u1)@$oKb6TkA4&b_t??fp+IVl4Mo8JKNt^TvUHo@Y)qsTtiWAGQ3Xp)Dh8zlJ(AoTXP8~-V2 zQ>GQ0wXY?|thPDy7F%4Sy{*@VzK|qi^|#uQ^c%3bol^)e2fvMHO9AAakq+ZJ9zxZ? z5mxEn5unE*2+=&tpjn^!dt3sbX5R(nMvU?EPTk+zC?>>`!b}^d5=f5F5s}E+z&Ck~ zwXLJZVQKv!emb)xa{=LVG2OXn5|=iQ;rUVMF^fk0sO|%9wKu;3pUBx<#KHS4n8)WQ z%bWEw9ONdI!;uhVu`9$dPrX;&$fIj5hWD^0QW>58;?@gvBPhgz3^bB7*>c*-3cr++ zO)@79FNmq5oy{XmPP1G^5*amcgkaP+;P_MXJ{xG(XSl-T!%IRsyd+9o>eBSy!BqyM3C?%Yv#G`Nb*g>5@H7|mKAZ#o3kSo8*cBhkfW_P zp{=8e+klEYlnfX55pW^e`j%2#XHV$cWRv8<+Gy+8n<=qnpk}>sX|onf$N3#*LdfQ$ zM{WAb(>tv1`b#Vsa4XwX$PlTmkW6zLKD?fu~u^4cn%h_Ea*XtxFvU zr(+#{Ocx4wv~`dd_i}I`7NzX@I(=Y}V54k-Nm~b+!vzGGw6*(gVplv^qbi}Tog-qn zQtU+1<| zSxBf;n-9=Zx9;RL^d30L^L)z}SnIet8M*0DvOax3e$x*R6F}to{aIS2(3RYBP_s^! zH|qk#gu6z#lz+@x+ID?CyPNHYr_wCg%?I~*YftZB2w1nI)wfhy%PB8&U3lArS*SmH zrJWo+ubjX+MkDN)&e$i0(oz3&{oawRJINpch0b=@rxxS;FTwZz*^sm@n_;lI1#Lab z81K!w8ky~%@s;{z*{-me8u4h76lm*mTPEx+$Kxj#Np);zH|x+(kUjv!HX|jVlYDH9 zX7;fSPDR~#bBJ$gjAlOvFO@Y;{fiS4K7H8qwo>xR{KJr|^;oZNGH2^DNPd|=k56BH zdfIyG6_0xXPEs*orYtwI4yp_*zJ`RjDZLDEtlGy~+r%TJ7Hkiev*D~}y#&Q!hi2xG ztD2Tg=CS9s&k*3vX0p_TvsUPy?}+%LGNIn&S5`qRo}BX^sVjx$5agWVM*}eLFmgUr zLo_Er{s#Hnmk~=o5klv=rbkZ~s}s8{B&&wtvzubrSf%Z1;u#ET!WzNDmWEAbS<7@3 z#xP>-q(C^HupJgRh|A81X*KdDHOAPMrEr)MkZ=Z1QS#o5_fcvzdAisv$0vafx>hFe z^4)kDw#05;=J|7P-vTF-I*p zzrkk3LT>F=r)V5OKpCU7uVRL9H32m*p^+<}g zF3{F3O-QqHZXMFMuop!4S8b#F>|jP?zNQl$ZT$)Xnl9AfYo6+aW;H$~P?LTpAVBK{ z+cfh^Nb=U@=*ioCYrZaNT6b=Z&?eKCc1$BwPNs>U;;_k5h+WDK-q+FGIzd~fXlt)I zE3XUXUmwVvdr$7tFCDV*MXGsz#fD_S5s0=fSYuiPcyNR$n&}1A!uOwc;n-9C?y3EU zt(Y0;9lzcDSj7j{rOE}C)rlf1{|Kt0sXOxiWku5qRMtDGBRb#2#%QRpW2$6x{}zh% z3!7s16uOnDwTaim_j=?&{;7 z|9P77rV1Snk=$o(CZ2D4kiKT(JC^G5uC(ze0{Ky9f$Kno6~SLURxSdyDxSfnAE%-* zidr|UwvDj$LKH6AU z33mW%4nbS-JZW{H z$rH}gAg_YooU$RA%uW^9<I@Hb?&u;C0O5aKmMsn3Ym5u$DxqTTkfvbTQ8hw1c=QrXZqX@eU(9XcvIa zIYX&&XEg}mE+Mpdy3)Ri={wM>{8Lxi|1*`ICrygi3+hCH(x;wX|(t~oe-gJT>o zA`O14f_M>le(pFfY3p#G;+K%Lc|I4fU_1AsM+bM|;qnKNV=4~luVQX<#}kHQo#au1 z*CXvRfjv+?G6tFs4x)EpeHyXQ!syO4m~}WbV<(`n{tVV*5}>PAtO1Ck>?JJXq4-J; zkD&TVC3}!r0~8aakUm}tA(W353420WA1MOu?#m0gA)+)ZU612d2r4KZ!c@U6sP59M zRk6nLJp`ex{|(yOYbCsf0&VFYaBh$U9Pj=o1t{qon>hEt|mxX;p3G3EYBOkSN+zoD{>t0g?rL*_#HwqnLjDd0 zAv=>Dg?+QnTt75t_EYLtFi1mN=jlJHpkRMt$~3pG?7GMXFF5UBKtJ9v`7|lt$WhVf z>OH*5n)v3{ok{V~p0q8sq+2O@+ldt zmuBII|6!)svoR?iK*odA)44rv?&xTiWHZ}oPwix7THR3pCfGUj5k@&J-tMIE`4Dar z0%x|;-><{qhdS+&t2T@2JMIVf?{%Y+u&KRHk2L^0D&ont4<^?n4^wY`a;YUp8By8CHjNN)LE|H|o?gCJ zoZUKIj?q`6dN&B!3Gz9gSS$P%EeAM!l&y{41021sa{!(fe1m)g*MV5LjV==0E~r^H z_<4Ce%`eCzE<;=W7h0b%C+|e+AyqJ5SnZZ*UCr?16P&q{P9zxBzgDy~vx^G|geKZD zRB}mUHIk7(ak2%{bD9!$AkZ%i?7fgh-rqJ~W`z)~7z!ny?&dq*08PO4iZ`Voes?>J z9bWf5r%!7XLH*DP(hwYJ#r~~TViLAGgas60hv$09ppu=Xh?1F-WnXIRq|3oVjFm0J z>d?WLq9`=)1gCw>I&-J5R9{8HgjiuGHj_O6&X7$-W{CkAo;^ zN!a`xtuA2N;EZPON+7Wj1$vCm2o=}4At@<(Fm$O&=p+!!SDyMYImVo%5WRvyTPS=c z7UWC7+Yfp<#%pdJr@Kcd8ex~UQ$(lC&hgWR!gMo6@T`On81LgsuvT`QF|ar9JEZ*QY<{cFeg zok_`>63!d-&s&01m61JUebMRC$KwHO^a9y-8x^q8*NzFZTjpbiB zMW;wcA3!_$fcTu^P3utae?CJJt3p2RkXqfp_?9&PmlS0E5ocd-vm>s>;XuDgV%B>p z7Z{*&!;y6yoENYUB|v>)8@#7iOOIVNa%9J z&fG^}Dg7!%^d@+scQRf>uumUzl=~P>?MyIJhcXx>us6c9-M~Z z!PnR^K5s&OZd)pT8E*#!Kj`*3G1eE5yndWUUANXPCbJWy*& zGJ*b1y+_zU?})Goa{(W*)s#uiV7~9zAJZ4>>SV022An6@R{|h{QuAU?k;ASBi`MoUE z=yfAjcloK~gt|4$7^#;YY?Y3(%u4$>kAF;$d`~6OzID{r={N9X9z2u`=IuRHp1+8F zc9dcZ*3y86WIV%+f_pDUF7Hx3=QAm`6Q)Yo4xvWt-G4s?aijfSsjck-Y3s)n@B#c= zS1vTib5f)Lsp6b4EApM*+FYk#6n@n{X?)T>%QpRZvS@MrMmTV-4YEGycROZJy?o-n zOOYF%Mwl=c@sye7*3#B-y33Mfi%B=DJ>Y_m5)C44NnOnmZJj@OD}%@`rE@*!T)b5z zKU)2H>*4iSd-}O)z0jKnw6zvfCifFn>t`r13%9UVelAiA{#yzd{c{)QCs_{vF*Nvy za2nbh%`IF6y=6m|=fBX;XDn_qJNC9QUNAPw48XkR$wXIAv0zot^m0tfzV-U2NxxOt z?C5LZ<+;Azi3yNBbs_%>+3!)!;*{C=p38Qa$G$Q+st2NZ3#wviYs>d!4rM7zStkar*~KdZ9N^E0T~Wg1`fU_o{J~kE+W$q;t4!r4GZTNf=We9Dmsn#}`m^*2MM@n*hkc6(ab=s<=n_X*RnG^ku%pa7u*T(KuC$UVJj7)YfoWYHJ>eQK?pj z5E&2;@ULEmYASoo5^w!m-Yz|ysoMz(!;|UN0?Z1x=ppcFESfwGU@1dPGb?LLtK=zHmzqWnAeb`S8;{b?so9UU$6CBy@kCq6KrjO#wK5G{U&} zD)$P2Di9)BME-1EO31*BjD1dKO$L)e@6guZ$hjf}iV1BU>rO;u)HtQ0>42vxI*BsF zGYK>(2&)z?Ko1UPKIVtLUL!~Ghu5oMK_Qw;i0*_8PQa&pCkgk@7!}6TIjsV@3rjIt za=JU#be`-cb4BvdaJT%;}Z!(|9Db1 z)TlG_n{2Q4tvRt4(!R{%+`5JvZM_9;9X$3`0BwDj$`EMxP0>@0VM7rClR2GQplZ5s zTUuyt?H;?Zu-;dbNrgLv(q4xQn4@vZtXv6~wvN+X*W)=X&J7F%kTve)Ob*%?oOy@G zeVSYICM^|{--?iUsda0PKt$SFQ|M#w9LSx%szj-4L))%oK?QAD%pK{O3z4}MX>vk4 z|3f#~Aba-43s`>nfwcAC=k7J4)u>1LYd2V9_SW2KZtZq)QmzQ##!5!Ux54$pd!(&< z(AJy6&08PL!@)h={bDoemK}+Ep>NvX0IlTPw{&@}RmgK89)3#| zZN1gPgU^pxozu|nbB|dX_=w}4B23-Iip{=f$I#ZptLHfu+OZFf$JcnnyQ|KwX~M~= zByO5zvtPD!Y<^vmvu|CnZ|$Zi+DzY7?65oPzvS6Krkb`Yjw)A#6m8Aix{5}bOmElz z-c_cGR17_x(tCXDhC*B=VeeEHKkm5(9)nFlfz207Z%1ZxGz6I_% zDX}I$lcgY6dPqVQe(Zmy41X-0#Q|v+kYu4BSruAPgbP3vHMgc(+Z%B>bEzY%6J>x< zT!K_qgLpxdBNr}K*|GKZpt2+?A!fZxAcsBIbu2uE8~GulUfEDY`pf?%#hgrHY~*6#P62;I1l#48O>{J2#~LMf@HP0t`>Gx5;#XzQfjA<7avL)(so zL&^b!NxC3Qy+jd#WYc{KrP{RMZev+?u1bVK^F0$tjr(r+wPWVGa%#q0r}*DQ=6S3M zoUoL~@@=c2xisT~oFo|(w!W|>P4W$Esasj^-3jK_Co{CQsxovCs9jQ)eBG;$c(1iCSK+M! zyY0R3Hp?&6gqkpHy3y&B8KV;)we@2JXm0JDPB5+w=wq_WM=V?k#lvI7;bXdjT*p$I z%ID{^G#Tx&!<@)z#IIGva0Z27#PiB%>q2vDU5gYIDBD{z6C4)rYHKyNasMlb*b%pd zwjMZfIbIz?D?RcPmwUhG>!7f2ZS_#Gug@grnOmb1+)ew*aCdG!^W?gsHMkP7GAy-= zk8v~FUsSC3Gw%pR@vO8pV-$(3_BxCYRn6~wkA=NljO}^JE7y0MJHU}TssXQMaj7G% zuDcRGx2F=7=G(u(K!n^*P}EM9B7AO)Q-HYk?#KIrEwqm=sdGPbA!dhPhqBj7_*1{? zm|IiTA5KPzow09iAN^&dw{Hi8xoU9@5DI_n9hPhlmyOTq>g>UJH~93Ko`Ja2{?V<} zqdTF(J7?iDT#Oz1SbKir@JLBGvBY0}z2y%i<9X|P`sPd6ig{r>WxXz-lt=saXIXXk zRTJtae2mijz*vwao!%Q#lyK&}oGSyf^fXJG8ys_M;|+s}5a-s$8(hE0Ag%FD8@Agi zq|qxTeYnz>gX@(^7D@hekF(}>`r@C0%H+oE7a%^LaEHcyRttN2lEJ&utgm^2HSLWc zuq_0rLyeGPszC&~NL>9hN(zxsx|8bWDb`M*GRtYo@k$_g$R--@MqtJ~|f-uTHj%UnuG|E1#31f$a z;P5;KwIGMhL=)rU7`y9w*=G@tQO2M$X}5sZ$+eFj;`{qZq8(kU7`~6j?2sm$sSBs| z*ltU!9sxij5M9guf~bsHRZ$6cAb`)RHgQ1?ijw2uJE4%X29gWP8-2_LNT$^#_`yK&chv~6TND@(8L zNq}6GyW|c_g%GKy4on78Wn;;<;-OJu=p*<0JO%-Yhc(9@DD&u`fvDHwcsZb?)H(B` zgjRt85Sb+GL-jeKik;_(39SgC;ABfkl=Zq&3i3E7xCC}cdXO?}shTErEoPw>5~{TX zSlCotRJR%qCqhiZ^oVdV)puUAvvqa_=f^|RPJ%9;m{91vM7Iv_x*g?EM}9uygk}{t zKGsg!i-d&#vT(NvaXmDDo^EAY*Keru%`zx}Ha&*cWkAwK)e!ZmjdeAk)AmVw15x)A z)iKKsn~Ca0Qyl9Kji9V3SzQNWN_I(Mua?07@9^Sm3gK+8SLV~HC9f>fQtYhjNK`Qd zdb-YVOl|>{8%Y8&4_Z7?DZ90#zE~X~ydVN6Vxqa=Q+kwyyY_QDshWUdqWxnZ<;C>N z!$Jl-!NTNSG3o*~DJQS~MisDI7ZMhkHInuCn--ZYVWCX<@GT4$A!c~23=aHFPR))= zthrByqpmo^k&?Jig52WI;dm*Z3R?y>Yg%({!C03Da z8mbNl*R9p%Ne*UQQgMq_Bl@1hNEIh2u;g>+;zF%wO~NxBR-ic7$?)hDX7rHfFcV3~ zb3p8jK`KCs-IPGbGK{Bre)u7moWkl>gP4Dhv{nV>wB5xDN*5Ivdi`$|yDTz>$cxW?HDS>aJ+Pgfn6Sx}iK(?IJM8;V24; z_1=`i0v&Vu_>$sSfhJ=76v6jIl$;|zme?sz!>M?E3@~U(sZrl)Pli3*pTW}aW6g!q zLT%Pb?Esl&P7)5XQWQ?$u@C8F92X~RRbF8ARC{Zy0+gTD!*zHMK2H&E4doL4U)tUV zI%q7@h7WBA&kN!neIA_vxHJN}>`Hh$8=^0~5E$ELg`Ij2nSVVb*Vv`p6ckRMzt8Ls z|0>}d_Nup+>3=dAO2vF{?oS`Lr1q8E&%OURyQe4${={epMO_=RL4;O;c$+ zXy)v&2bHG%^ZJujs68@9UO$}14VKYmlULHbC2+^e4u)87ZS?uOoTqSuV++^BFv@W{ z1)PL(+@^@WiWsuePRX-&%HwAUhV;z#50$;9Q zx}X64Axp0Mm(BHIX->RKy`=AcNojRA^u|(((hn>N7d?)W4;{oFbIw5!GH3L1w5KvC zrGc+@h<@x#IQWd0#F~zJ+UjMz5PH~QY;v%DueKXAjL;w?IfS6KSBqiQb&?hds@xlt}beJT{rrcfAK$nCGi=x!W zxH|r?r{?B6)(dsWq-v(Lj&0BobUREf%I==01K=~F-S@Ccf-y{EUS%mt>u~2BSC|mr znVkfF5(*}gOyB-TXF`^pV!S`5f3Kt@B5de5NFA6QqS-3RlRX z+pgG>`_-Fs`?nbKA5Ic|#8ZEmG(HC_zjT=k;`zXMQlBiP>!mf{fQ+QpI#ac#zI>@& zN$lyLgMGo-+diC!h2O^R`FniP_=D|f_`gSPwt$<>ei`n)@u|2GeG~F<3RJ;a8jIf= zCpUH_cJ5E-p#dU)S8Hb==g5)dGI~EtbwlJ5ad#MNq+7D&6Y%H4KJX$X;&pvXbO#oO zKF5Uarv}}guUPT3TntP{Y%cZB_guv~v~@HzhqLYWd8PGZ^TRAUgC%JW(>{(- zSEj9gtXj}7^;xm>fw*LMmB0;jq%sfj+np;g&K?}4*0IiUjB=Bav+-(G#HN%p z5-UllWtOCnq}8%Eh|F>Pcg&lq;A~G<(V74*cTwe)73$w>c1OhHuiu!NmuYkGI4`d8 zLKJy_aM8xg0FD-1$;6qMAo-SoXrz!FR`O+zz^?S@_=R$gK~3XQhMys9n<0l23Q%F3 zJf`WXtPHiuJ6Uk0Yc26vOp(wdb4mQ=O*^h%GgBO-e{ok7kXu21gl1 z5pamNNHttJz;BoA;UzPlHsnh46yHsfGG$GTfQ8>h6p}4J&3Ke23;Wf#FX@98M*?F{uGUX&Vd#7NF zyp`}SluKOJV)sMbOPRN3547x^_pqK@e5{GIUMfqBFTIxGUX`L4yMYgM>;*ptUJ2#q z!7@mJwBAX1Ls~DTjIBM=+K|>)X)FC*@9kmPZ$yWX9H|tgw7!DHO5!E0TN+!B)RW_cjmFEX~6I*Xhi%_&t-X&~vo>`D6Rd&wV<5 zR_U7O@yS`d3W~7BQcse*rrHvx9GM__PIvNLqUFkZk*s6QWmU9bPq;Zb#91Ue%Si49 zppw}CN@mQ`XQU9s6%N{EQ^G?8h3u|yk2pi&3ejhi_K+CMfNVvUt?s7m zz+e`IO~<&28d0z#s&FlQg*BsdZSOEv`8<}EUgG6H%}b^Z9P4OM7cGMW&1my)BJvhC zj^?Fwkcbti$AP1oU_N@~HK`~Tc{)J&&?0Hh06EqaJA17PF@~fSzMbAWu7MFmTwo0v ze(-0GxhrIx=p1o7XIVgN^mwFzVH&DcxImSpIP;N#!>&7!C;(zPQ~(^{j2oq72|AvT zDL-kICotOIDHGZ3vY;V#oSBl<;8>3or`Kk{K!$i#R^oEH!L$;*lpY&fLUE3L`ruO$ z@Kz_flAlTpV{3=B&fHJn{$&4rfwaz%)=ZFO>F_R(7gIXXK))`+9hH?%smde0bMr1b>(i?p7Rw2m|3cH$P-39zBsLjHmw`Ait$v+&=huN%kK zR|v2&;CqnPOyBYeZmmCL%!H3K6CTo4X$`+Lww^kk_`a974<*NbCihE^e{VSO8rjGx zo=5+Vg;`}u0ZD%VDq|)*Pa)8Tzv&jk6Q9b1Y&V6P>zS8_*Zz{vX`UnBN4HI>*0m(9 z>$&|xl-7swQO<;$E^p`tHNIx;cZ)}Ng|kQZvZL(XP?`S-rTPDutIjm3yqmOTm`m9m)bdJlc zESk${LoOfB%^j=eec{OHRCYtsnpvhmzzEqDl-2=bQiS{#BzwbBI$TZi)=5mWHkmf} zHJ+azsmw)Z*dvupCUIdBrQaO27u(Nqn8GO~X&g^T0-rq$BXVqFZ=N7NkQmoSfya$t z-1{=s)|3}dDRicI9jt34Wh<#3z*!z(kOsF4v=XV|RgeK0)ybl0 zENjd{pY4k|;l(07#N7qlxR;OU88rqMkwvtLuNuZ4=Wwi|yHVJmLoiul+ge9Z(+ZhS zW-NHDI?=J2A{d{OfZiG%#7bD~uB*!)7+FQ4#^pR{aUX6#yHsXud%EMOTpiDZ;=BQ< z&EU~@JPH?_s{09cBO|~tm*^kH0rG%meBbcPQ9uCk?<@~^+%mnAnIBAo1uQ1%`Y3M_ zy%gIZ(z>Owb)Ydj+WQcnnKY`=izvGaD~ZQYJS49U-DNtX(ZjovZb=`<`zl-+F4FLu z1bGB2a7~~nqlS>yO|P*vB=(Qr;yf8Z1n_LUAM0M?WwT*Y6!<)=!r*`*<_>s03LE!=!$RBhsL05>s>0Q zs$B@}2W1lo?qI-KrEIuy|7217liu7O`zTqUb!%Ghqg7%!OkN?aN6B`#39?luBntiO zgY7W0Ka6u{HTr7OOow@G+94t%E(y z=KhhX#!e82J6OPmq~UL}T>*0wx{7;L@|J09Wkbna({+`_hR~A*C&xcw-^uX%$l52F zP#Ek@^GhRXoSh)C`Gs9%0;h14b$vrzZ3k^5bkfhgC1f1HWqzf?rc*|3OCy$qiQl!k z=`G%BUrA4K)Mmg`O~?oYjI9&+jf^nZLjJ6Nt;`}NgoL%jOHFv5ydeXjN- z&tr)jY97Ld9SOzoJN%`2!&ywirVx%VHl#XgpIYSwzR^putjj57Mfq z^cM?;n~{N?0QxYAi3>D!*=!2UB2#rGC}%veKQutn)c~z1W>kypvpkPob-7X9FayXY zb*~Bgy#fWjpe;OPUh7in=^-ri#6-xolT-A=f)RH-PXC~pLpvc_1db>5yn0+4X$pdp zLD+T!MHtCIVVJrmb}V|K&m1v@fM!9a8;2^`YIqN{&R$7zJfbUh29?Meo+WWP@N^(a zyyEF}JN%>^)?~$+yp*laHOB&|LGw}9^_7$rq~kA%LZ&o@lC4eAYr)xg$5R&-QbJ8Z zM7z3_4HU@|66=CjUZz$A(u>~4lgt=->RyQwloB}N9VI=@6*<#|=J=dv>}d09>cup0 z>J|JjI((ElCYLVo)OvSc8X5G%wuPQ~Fl3^-&;(MuhhKW>{w{lPA<{-tT2SnhgH`Kq z!3$>oEOG5`C{jv#`}0+yeHT;Th)oUbC$i(cA!+THPUl$NTnlVw3OPi^v2}Eaw4$r8 z^>$r7-JV_1;da6-4=f?A`2^xQx}l7%9|I|4YgUm{`xT_MaseiE0|o`9m)WQsPSxt_ ztPkDkyE?Xh9BIwL*l9po+s&(EYl28xPcz8X2~&0}_YyqMk;C)*Y5v&)9#N;l#UgMk z<_TUiY@Eglfv3r{IgAXZOY(8@+k-Xq-4N0``zRP$E)R625{<2QK1XB-umsNd&GF$1 zZ}OJNS@^YJE3O9t_H7(3NdcaD0%u8UcA05x?fm{NZWw7DYMrsQ$H$(1tl5lI2EuPU zOQ%QjVie1#zRLJI$?{vjNgiX?tdD;uwWP)_GizZJoBlD>*igx0L&*KT4(?>XOBsvO z`eK?Ux~b_^BnjC{*CY*>M?zEU)&@s!2paK#44w5cJPC2jBy>-IV|Z!AS(tb#Ft+wd z?G5un_lC5oJFZ``QTxnXtRb9WF&d~LzAdeb4k@0s=Bef? zRpcA3TNm(UJnZ_wV+-OCrUl>mq`tWv1_S1gGiW=u%Z9>{*qme24N`q$dq2KbAi>;x zoGY@+!=Cfqlbq9}gD$E5-_M<#wr#Mow@I{Nq>pqsp;k@>X(Dt|Kt6D|!fl+J=c2dM z%>GVLjI3{hmw3fN&!ucQ6a#Md@|wAekfYVt9HBJo{RJ2G1#|=-wY-#)O~J>iA4)&a z7U^!5#x-vbLxfBO>&qF#q6A7dq~XlQ?din19+D#ZTntYXVn)zEkyw&$ zHQvN&ygio=ld2YukuRu10l46K$L4E5=G>l4O<~AK#))mTV1k0qx7k-= zUk`W$j#*wh8!j5!QAhpeuOR+N1~=r7c3oYQd|Fcol;tsz3;xB1FzzV(EAj}`V?xP^ z{Z_F?#^EtaQeNu~)@0t>lS_0+nuRzRd4)|IO0ON$Myhvhm#dtvg9@afUH1EF<|3rR z*k(j&Q@;O6*1Yp?{OH$|Wo-Zh5WTl;Fbr$t8wGxPFKYmeBsd%=KD?ei&LrJ@U7%#b{EPOF5p;E zBr5bs>jh&I^AQ-!we_cv)`Di6h-io}|7U;s+W+$F-*vBA!VVO*idP$!6<5Yd*6n1` zE4V>laju4DmM^ku{XWs2aMrE;sa0u>d39|4E;f@D+zc5AQJfxT38q>cSOGIrt)rZB z;V!84I^34lHvF_@@_GZ{7XrQpzio~x+J?A-ma%8_Pv6*b-5ErPfp_ckBtTU)V*dn!PQ1=mURX&kS9g z>c#qZH{N~lhg5+hfW_SXNI9f+n!m5tGq#qrraz&v|Im)SIL)Y}_R912^c~e=n5^~w zMBL_8q8{S>C)Tam`aPJ8E)UQwyoFYU7&Q^nunlNpo3hbwvEzm z2w_eY@^(i7Z}@r`;R=G!JYy~Pmp6Ne<%!!YeX<&2zchc0!tf^e^dGpOJ{9{U z1D+WL@?TV_t)_N!q#zo{6WS`PWouDkdp)QUfXT~p5?vnPXo*KEP*+T+cw)NJYm1Nn zuG4?0H#r1Yo-fM1QNzZ@{OMZ>+sK-ED9|8qggr+BU07<8L4M z&A%M_fsd_PplM0+RFQ=>%jOmtTSr#j3C;!-YZw>1E>n1=+i4bfRw41y%FVJsZlG$R zmcjF=*vG*z%Aqv4=yZ2yd7gfAx(BbJSmmxlUJpWx*4ZUcb@v44`{dVDm3Uo6#)tvt5;k@_DP7@<0s8iv`jq#PuIvteoT$x zfil;IiL3(4jR9%&p`J+SLwkqW7fWd>5`FZY;X0sV;yBYZb7;!0V07Hz6=I|*t_5?q zu`rRJpdeZcY|hj4a)XZGARcG14;J0!rvu+V@xc53*`IyjD$#h8o3IMn0Rm*lsom1mc#o}aQF}2{x9G9l08bmRak6op-_C%#@wfAjt+kZ zZQ34iJi!b>du$yft=)^qea_h0hLF~);h70vT;e#H=XH_M{*gdGKCz$uxy0p69XvZy z$@CrD(9!)#s41DtBgte?%s;q)p>V_^eOIXc9T;^7nT?jgRgi}EW=ZqwFyWPIURl07_Z(lEWXGTORBINr9n!i#nV6`;1XUk) zhN*zNj{J6B_FdqCbGLe$+ujV-@NS#)vg0x@m@k&JK3bklQbHan^1g+OJ??_NiqDv%(qUaEt}2LgUx+5KR+^$ zPuFP!(5OO3n{pb(67rqg5ePeMMWfdQ55-W;NUl;#RhMaVptwsA(5 z?FiA0%D4vnPki4m?D_Jy&Xt|?=-}JVnQ*!`b!s5X_7|HHn0-vSiH6)Q&C0TvaHk?7 znB#HM0DiDTM1l#wGYBvjonxx$wFJ4eJg+XW&~ev*HUvz^)FDL5KimJZuiG2b@Ao3hivbAf$@K6A!pSXmZG)ox4KTv^f|f z{Kap6;V&Nlu9Y9_m`O&X)GG(-qD1ovI`*Owu!$6-N*}S;NL|D(i)haY^dXo_oVsS( zG@5XR22Q{@k0XHfIFcDKWjswDW4l$PJjk#qxWq0Hf!DkQsIC@s;R3u~%HDX&g$!?k z>XlzR_i8y*if%2sc@qXs9gxxIbQrqPErFAnZ&R$D=oetNPZyM-!Y*c)&`M|mZz2W9 zSZ@h@w#WJvo3_e@6C75Lo9MOSumU^%G1xp`u?nJFOI_W8%6OZd1gEV%%z|+0AG8=g zpjhXWix3N{Y}SB(0@+Q&VI(BpwyX8x?6+p~?q| z|7}gRhDK+#(UkcTNH*ohZzbzO)l-& zqTZ0pMDWXw9(@&8;fwOoMmu$SRK7L`pKAJ((9?%VT$);(_-@sp2-~IAz^Tu-7d92U z1gn|pIcL{Wm)m%61tixDtA;uK&=wjIfrm?L`i!38eS3cK{1ZlMbPfT{xD!o zxC}|{p+(_IIQsB@T8EQtJ#HAlm&clHjNltmZtOdFJkrNkG3g&;hm4D4C^(!X8#r+= z^4rO(tV~hYc{M1Budo1z?+!SEIT=E`ha>&g3uFqSxd9(6okv!QSRdE~ywpQESPkE- z;DNIOi$6s@Hq1_Fy6JAYbg$rGm(6PnFR_3rk&VEA%0)F!LzKFW-ht~uNiUVfwU~`m zC(t=|r!of%)~4N8Vg72IG(3TiW8~T@aUG0*@x~j!lU-Y_KMJaVc!)_`PFS`lDGaaR zECbkva{oL`pr28297v&PVL=2g!VPwm0oWKmbgD#{xtsF-4-w%Y#X-ma2TfdNG-YXE za|h&sov%{F?i+&qz&LeQWBXI=(_{{oO%tijGcD@jhW{NNK5XXHKKj*MW$Fm;V6H2~y37!`!_rj_NDalQ-E^<8hW7_An(xwDk^dw|9Ec zUKpK@Gpdh1Kgo4I`$F+kw`PGkjr4_QZTLd}m`ySiGI09v!hl~UvEi|g^sDi>p?2J* zzqE^Xp=Zp(Yx$U;{(VcDN62N-K&tBxg70JW>W}UZMU8o}H!+iH{l>dn>#KER4}(+Y zs(omY-|@1ZdkOh|63cM2HKlU%f&Qd*>xcPrrhi9jr-#Q@x#oWn4xXS^9Xc?>ZA*RD z)4w%)Z)4een&|YzGofBTU`0I40@|uuPt1i$h`n|0h~_-lP#yH%6oOB_kR&G?KF#cW zW;>S?P}5|T5DGu&?dgx?E}7VsreR!*XV*Xj^Og10x|&`ze~M}UOdFYZQ_|0ztMxKh z-T!b>`&`jGf0$Z*5!Xg_(t6&N`fbaj$|XV2tFD(Mlw$Kd0=_S@U&U7o%ODGN-#Kuh z4PLh7(<=8m4%bmV3B)Tf!4stEfPyReu48e1m1_NC$+guQq;W?N7{}YmDU*UB$l_PY zOHu3t2G6q!epQF&ZgYM{79xa;BBWiUXoslFFj1;YXhNuLopo&54jU-Gvy8|v657@FfWqhd1v?)kjIb<|EpQ4M?WX5ii zT!^Wrgx!Jb3P$E&eO4=wscY%Ofq+!&w_H=Lonlu34Lk;0Al+wYkP?pgo^ci&q$SbS z6Tk6m;!9Z?c=;aduZ<%l1IK$cKr|m1Y7S*$Tlr0L6KzZ#pMUf-FASZq0KrbRmZvW8 zx;#Q&N`!FLoGH<#g$E-v^4$h69Q=TkH>J9P(7qkgI2eJw2qe8Uj?f58@9e$2fIQEjMDmj*?6)t z7bLA0k=FFMDz>9hWlPnk(^hfzo2`_e_@n0-u@&WW?|GLr1fZ&rfy}HVyKLIQ$g!c z+EJm+e)vHMqnJH^xo`{9Bi_LU)vpMb4g?E72(F{Y87v&m+?)8QYW;23RBMqF?@yQ; zeyiDE{YF7&Dr5sMB;NYyTl!bwr|28_8C#k=J!jKj8cZ88K3@J8S;ITgQ#kJAnVK8f z-WcxRe#RPK&jypcmY?Vutk?S&Ps|_jv6g3jCz1jt+T>G5XoLWE4RwLQ@ljt$T;6Cg zXglw1aCy`>+hIHjY&tp{Js;_}-4oSmwWwoQu7?K3YpQkI1IMD-#Rrk)TirysiFv0J ze9T>Yv>xoe={$bI&=NhE^rP0wW_Gm0#5Ren0LVFIU2rl8ZnA`q={i6<%1g&?wRhLC zxV}233Y}4+3<`lxnxv}H5d;hqr4|E`tcUAVYk43eiiHkAF#<1ZC54Jqo;Lzww%PzaXC6Z=kn}I?3{NN@$~n!L7xtKHG}H#yF{mm1tA8) zz?UEhgG>9w6JWB*i*%J2Op+++t+B*VFWf`l;ZM1BVWJ8 z9*(H-8j1JVcpO_iU0^UnM&O4Yz<5g&J%~-k?G-K}g{I~>2BbA31zFz+Tw!N(*h1p} zE!b9eQu`QE$FVgrU0m8yG?GWSV&V0qZ@0b074~H8szl7;^qghm+xRl}JY^SY-C&I7 z8qY&vjd34~t(~!Tu6;DEU3hhb3~%4%y02L)3eR(3m!JSV>*M)n) zM2_a$DK`t3i%~;bTk{NQEz`$L10?(mSD7C@zG&{cIIgkugv|EbMz`~u=0XY&dfsEX zJMXfI7m{GhzaKcCG7Y`DtcP)8v3Lb9T&>q=<59%AT8~cl$8rl$IMly2)q*;-axXy7 z^gz8oMOs^kAy_wx8WtXVXRrw(xeN5pgFaYexo;?=KvB}&aQJ*%D!QT>gMY*vE2gnv zbU{}-52sfS!ApI1l#N|bzf{utbvhn>v6A?^JU!NO?9g0T-BWxOH-R}<1M?`4n!N~5 zTUf*;=7cO%I~Uqbgoq2iDeD^saIHe1XA*Oo)@40>BpN%>tan>yaw=)`-mwgNmEcRI za4j?e>L=fUhO{{pdYEgVLr-wF6ucZ1>;8sm|5XNIML^?8wc4Qg4ogvYBd=EJ)jN4s zydd$Y(OjerY+@HBIz&s^Yz`uY$u|O{)1YeD29y)A{cfTHUXp-#q@$6OMxNI}NN`ji z1qjg9IdYi86d=%H+XIG}e0f0%`lw!~8&%q`zCA~x0Zb=TJZ!0nVDY^vxMUkjG&2~T zbu8u36&$`}0d2{v+I=Bh?DX~8tc_pa%Y&Wc3DT?q5*=f;ON7rx<&vambFTkw%$(yW z$H*%~Rq~O~oK4@fi*=)%pA7TMXpTt&B z|5P-WpN&PE4PL(FOCf1JfwV5i*5UK*&E)}+9vS15S2VY|Vp z?gf|BRnre8@6AGTB~M!6Q!FYxVoR#l7H%OOPi9GmqI}{_@p#Xuo&G2p0IP;2tq<=W za0Ce>Ri}#39YV54{cbkFfE{U_>a3qIUv)XOgEGtv zvvcBBBG62Dke%173pkF7F8s=;g6;W0krw}9zOhJ?9=?Q}YTS>s{`Y+8d*=7(VhYda zZ_bO_&!oSlj1=gtyBmQ}=uZ0O|CCr$ zqL`WRvDza^+WWP>kS~4^e!P^HHMaP9B6*Cx1qa>{XLviv$C1_{VSjKeidp{-A5)^7 zIFW>h>$}tW`6C03=)Es`MH`NYGg>q6230!|y)?c~Hk^0d95rWoBdNGDpsS%a^^MN% zvx-uT=my8&d_iI>m;U)EH|oJXO8R({Vw}G;Z9Qjp=J~$6yjM{?Sl^mAk3SMDy_A;d z+sIY?qPEG~^Mpu9@MlQ9!(6@?s_8<6$Qo<4Xrr?YGB|Nx}rx@O0jyAVW__o6+ z>U2nWYxKG~bjSynmd-S)az>pD1nuz}7tc`dOG+^eL9EkxFKe)dCSgeVEu^u8Le6a7 zmPup&=F@2I?2)nKC*VR{Hvlmq#Ngx?#IfrRQd$J|cZ?h!7WIlQyGno z97jz%b24@XuaF*up}~AYmbN=MUIBw%U|*+{hE3l26#XbA1_Tmyz8XfO#ExNz&P#)P z=A@TLqF)QaVkWk&91WE(^=UzuTh&(O#*UVjcG?%#5S1yVz~yJ!);FN|Yz|+s;r4Cs zu3EuxW*VxZQ z;TjlZY~7Oiu>gx0Bi(YDEnuazrWbCmiL;=-f(fm9T32Ge}Blzo2Mbh@BV-ZC&@-x`}}T-Y}=mohV&eIqZL ziYa@0DN&L8K^gAv$`GsP~v2>DJY|rrr0%k z4ZPZV?cPE+Er#@7vjNLOar&ObH6BS4C&Q*NiR;5Z;H_Ymp!GQPY2CW^vj~|#;x@fr zl5${g#nUxmzK>*yA30;|t3aQFZ(`i)=}_Ff7+z+~`a`J&JJCCr*ZXs>z4eXNJWZQD zdBDcog1$LJjsvfaF+cF>xVb5sH+)?R z5WGk|V4AyWkIdT+r1hE4-jXEt2?AZv`Mi56!H@OY1wa3 zVg>aL^iv{IPDW$J(-heh{gV?VWz3Bj~2h{A#YGA!aS7p z(Y_EUBpKBQOl9=M)WVeQlwEfiop!a3UzuI%sUI zqo=gX%)5SWkLC7t&xfiZd*SciKu(Lo91+?XTT6h2aFFo;j=ksFz zzr@lNiQD*E-6&uD)c-zIv-sQc7w4k8bh#>3dRZ-k*4A>HRVG{OOjdn)rXAbRtZb{ zw^~-8%TFapr4!piPyJ)|hx1306&2DULWI7v)#Sk3k>O$_E?9ISkm?oeHUvTAPAK-ibJv5Om%|FcabW(c1&VB(~@c1D2EN8k*V4omuo?vP6j>Z=8@KDbB>w`LX+8e z>5?RUuzqdSlw4}AIVok@l{jyWDUl>}O&&32+(`q3pjQv^bWOFq3&we>_!h%7f(x=N zcsDGIe37}%Lp)uYae54b!kgt)YX>mX%gYnGNR}$#CQ3MURR?6lPsbK zSkE_XgmvZur6*2Uz=q(>ail~@T;wqJn?ijOI>cwf5kSQlI5)3;zAM=(i<3NxMDcWi zY>u(DSL9=n@ZM5dr$Q`?*y*7)#t`GW4mwXX(;a)|-wa=#Br`TxXKYPR(T_4(O_Q=K z(eWo$%%(M=*>;aS3%+(Q!sKTw~eEKUPIfty+ z973jOy*VCWy8KS7o{G{m~?qvg=+Hd$)#NntVwl9o+E3PlVBt$&V)}Fy{>+4 zZ#ttWX^5;bZsVNGv5;J$Epn^4Hq?wuT6<;dP>Yz76*5a8T!|L(1l30<3zyP_-C;(x zZiA!y&e(eC;%*1|fM|$g>sdH?Eb~Zf7Dll|TB{y8^{EP^HCu`)Qx`|ry>e}4yTAU) z{Lh4|4f%uQC1YzFXs=s`3oy?9Lw|5A9{QDi5C=MqZG&ZDL5bNMpkwC4-c8+3 z-!`S77vC)GwCV-C)!^6DxukyT(;Uk? zGGlW?Fzr|FF>`wh8+`x%I42UAyXWZaa%_ERdAY}4J-S~do%jSATVKq5p>h(Tf9t}R z{BP1*O1+)fImOu8PJ|=OvI5-2xh*Nqk_HZXrs}75Du!A6YE1ou=?5?NzU``=&py+e z-_tmhHIdefw*3CAr*$i*yYAEw5xCtB1*$-@$oQ#sQVnod7$hSHX%Y_MbFU@Er7_P< zrvu(*v#hUH>17vtUq~MBjq9;K%sOWi``2Jyi874XIsX;wrkte7=HjwMJg8BYo~Mb! zV62K16u#0Y15ww5|JL^n-E`*BFRm%24SYo8(Wj6TohF`xG&0_4c*S-IqFTbuBvZlFJdECC3Z!UJdga@KMk90EZ?_(<)3$QxsFrgE7v82P{0vNLy$k zq0gWR*n}7>hE-^O>xuKvoY^B{RsoL@d!NEm-&}OT%h87$jI3G(P3ulk~tj?QUdGNDcfPr zNC32F!cQZcbEGvMel(quw60>)w2$D`wS#q(*2Ci`Utj*SZ$!dMORx3vRAXy(KeFSA zA3e6;rL7r=nJy}luqz{+y3VZYt=xQQFuglyZ0#;3_8qMno>vmPvq`x5!<1*HIyF;& z+U`$J7q1Uk6=_{do`@wPB3}G2^w@s<+mo=fIZ)2h@&%Wl`UsAXvC@H*MOyo;J+@Bm zU9PyhMRzr}*^D&!oH@tCA_A!$7bD_b9<4(&%;2Xibw zd;4N9Y`Hhs(q(!bL#rV-)Nt+HBi{BMhm)sBZ1GLzg4y0)J55n%Pk8+1EKIzwV6r?N z$c}t46j~MUfHOK7Nlq>GWV{Vd4QiK}e5l;NFkmU`))}(T8ZifmrT5}bVVcdXm*XwK ze+?8r`CniB_-n8GHP*=wRUM1;nJv7AEn{o8eiM>gkmtastzyfZ8L_aR)7f`@#ZtE> z=my#rTk3}D6H6l@a1GON(Ae7TwY0e7cpjeFgGim7F#oxmJ#!7oGta)fWsDu?v9QOo z-g;_M;xfyxH0pHbQXA;6WLDp@aFmfs|B2Dm>K6+ajvOzeS1sr{TP?VjVSbD&NZPf1 zEp4Ctv;XZw->6rq^c~3UGvp-u%$wPH+F6zKFb)s@O{{cHjjh9TsT*8eu#r}Ox{$4@ z9332QR?lt?9O;pIc9K}XDvAF^aLy8M?a_jn`Z+F^LZ~+>I0^G~obe%&QnEHe0u_dJ zP-LzXBV{{+O4~2-$SjX#XGNO2csCgBWNbsY^4kA9Ilk+!k$)ZVXk5q87WTdr-<{ZS zWfC%SA(pz1@6A*%$DsG?Jc+2enJmf_7vbZ(n zRSwGj7BuwAVl!y!wgf@LsACFnbexGHm~e2mWM>JVa<|vlH=Wn4FE3C@-M+cHp5_ldSQK z>hN)uG7KAngX>JJS{9V6jI?`T*hEOc!s2e~L?2!>=01uBGx4obq_5LkwjvH~v3GK+ zL@jA0VH@9h9FWJh!ypcfjFbE(+30wSs@2H;Xd{cY;II6|fBd@>mA|HSDWPH3y3S!1 zI+p`H6oNi>;2a~f1Ltr=dfEXuQ9$l>64^~8s7``{c2W*8;R((Z`f5-dloWlk(l6}V z3g2m|HbMN~>$I|^_4|MMNz=-f&$}!oCLdhyfo`zWs9xc$?+{~($Y+V zhy4dU9z(rSIt5jrBSeNmdlqAwG{jk25+Uo2Aw=}bZAwwb2dyfeIRkEvpHQCeAjQk& z4OJsE~L}B^Cje-L|$`J9UYCkXX9N(-6_fw?hm6 zdY7di8%wzJ$Q*R$W6x3wp3U6Q3`6hrov7=kKeuqOnhh}^bo%6WoXdIwr!CqfnbF4) zh51h&=E``Ia?^{$rsMyu!U%D-EAa3RT7hXzQx=ko#%ciLZ__G`uPCGtcvx(Jxgq zJM>^u4;CEj#uJO4*HUJ9-PKh0S6s8fqyi;td}8>xoe4V=+xGE1@$kGk+~@3->0lGP zrbZ#e7xrya&Sr5dkGG&DxE83^_SfsH^>AyXC)n|x8LRa#wZaIbenIWoVBTOoJX=XX zcSmZJh$B>iMVDQR^E&3yaA(E!p6KBs<$XzglCQ7^$=Q<)vd8w0v14RcI^3!a`2OQ> zw??y)*EOGeEr`28mUSK#gbTcOqsl>t{Rf5VcN9qGH6atC^(ypQ2UP1fD(k9sbQazq zWJ5gDJRHaqr%30q^kq6jiMTDu@v|wEfm-t8OFE^6TApdB_rvBryY96-YOHP&KTiZj z=cFScq)iBXkQx?j#-Sco3dIJG(xMWmt%+sDV{^64pf7=HZ5-dSmK|O$DUU1z4=sg{ z(IO7gs^nP*q?=K6n?o(ULL)4kQ{qubT&I_h35w5vqJ>s51}9D6S;d<;87i5#;R)@X ze!2=Zd+_0dIw$%>XxZgyD@(v0=1DN3S|Y+%9mj1r=wA=2*6e~^E3Ju|#z(1CZ|F{2 z%spZHgk1oUp4QZ(O%shNy5_aRYmN4LNxbZ_V(MeUqEQm*Iz4UXe9EkL4|PTfz?()#)IJm#_pM5pV& z#>m9hO+%wd>xK@pkUtW9B3bOojgs+Jp2Ew-rH-CT2R;nD zLNy)Y{v4Oh*(B0h=gZ$GX?=l!)_Q5jg#Fcz4Ta^y9B)D2CKal6K(!9*t93mrfQJum zGWTiE#TM+AWf?SS>?niNGqC0nbIoVReg4c%D!N^Pb-)^%myp&?N$a_<-N|J=jC}p$ zlpmz^rRSjd+|YKHBdsTzNNcUfcECNn!y~PU_;QVD7TDpMqrts>KU~TDbCi*Av9K0> zmPkxx?-fhJ6WfYn_PBHI^kfImRaMoRrNXt`L0v_3oy4UI5+;a9vf$|)h~WA7`HQ++p}+xdj!+4JOn$BR$WrN_m=coPAc=4 zYekruRheo-|MnDVO?5iYDS5*$W`W9`r%z0amYvN*9C-CgSk5MH8G}r~zb!oz{&SWj z6SuU6+`lM0_|c{FQnmi(x@sMe*6F3_{uo%oJ?U%77kVev{rq^l$fcYSWAleeK0G#6 zye=>u!bCBdp6DHTs8;dX>Kvu@fyVwm&*~J8yw3i(gbOMZL`Gp_r+0EUwlTSY zEy>l`YbFd@D~j?ab+8+FJZN=|;w+>x1|Cz3>&P#G@8gAQ8f0qf)d3OXWRO?VvkFb? zt2s;z3oIdQ@Nz=FBt6#fF#(#fxgn^xeJx3y<58^Mfm|+GC@s|3Mi=b5fwPyDL;_JRt%XGlDFX~GKhnQoA%(|aML=5L06=8ly_TH|3Pn$))FF~4oE>pLka!K zMK2o=dSTccTx4U#uSrho6@+HZjz$u61dHP%ir6*G2Z*FQ6cC+KJ$4)7v?l*_PzDCz z+YH*QV#ja|lwz@#dAkUaM)%2 zNb6%gmBN!Wd#;-0OZPbcbt@bP)zd)#6^+&MJ*=A?uDTM-X73D~3#18^yJiyS*}|J~ z;+1dwj+zOpR)ZaCJ~HX;t{day*~?cp69-}UxeSvswI#@4x{H7u;Aen7`&TH`rm{vqzeB}i8M zd1muB)1Bekdi(I{gdTYppj4$u>ocg9UD(I)Wlx12Oug1xey=KDl-9QR{m6z-Q05=* zPbukLfbD39#~-icJ6}j#7Ux7(=$-AU1nv=}aCFPc;HlsvK9+FeCUT2zQY4hxFng@UHjySRzX{)JO!b%z!+6M(lX zygWPk@|hFNJ#Djw#l3-4yZ8EOw&H~9#X2N(hpZ9q9GJ*B9P^gij!F$_9T;1uoR$$9 z)xBxDbE4{(&efh~W9|1c{MttqJ`zjYDryxyfk)#KYC*uT!bX!j$qD|+C}yX0`w3i8 z1>;83&^@c=wUc?wA^8A8Mrw3V$4MTy-IQSKAO*+o6S8WhUHfY@H#kclh^WB>{%Co{+TGY(S&7&oQ>P z)UHZv3Oq@VH{5h)!jaa2v9&dk){V>(PiRYTQ`MO-$JXZ-`--f3tYA|w1?!Lg)(uo^ zGO(Npe`i)8t(m9T>U84O;M9}jY&zyxhfh+ebQZ$bPFRMtj-wI9yeZ6|>B)MuHENu( zb@Q&fI0%}=dJ-F@^-mr)YfT`{xYIXYt*6~nfHG1(99wvkEWC#BU~KK8wEkXocFKPD zf^D%r1rP)8t-sC&-!sRHgGlS*=t{EKX*7guBM9z(+S`9aIg4NV?ycnh8`Shd{uk+A zFchbt)%|;Sgyi9VKiahbDN=WUa`M7t!glV=H~3Uz)B*okz!C){!7(dMOaB$-=_SUeAU zsDYkMh?xwufh`m{@F_W?4*GXa9Kj*_=37Q<5P3HMDL{2G#gi9GcZAq6_nIG!;18n6 zbJ2CitfhWaTdH4D@OvmJvWb&zP1L{>fl`smDahpY0#Zd&=`E186paTr7mhVea$q8Pzb)Lh>1~w3yZ0q%QF@}FlD(X)@jkxOI7Fsfh;NT zk2e$sgDzwWI?6LeQewwK4m=^~b%s|-G#VOj8G3x%ZiqFZroz*@3a35D6SGIy@otM0 zZ-QR0A2}2Ye)>}FY`Dh%kinAxF8VjAPq}Qc$WW7A?Z(Q6pTQs0{HrP2<1mx zBqez8IcR6%EQ_3_`#748QhToAT~+`=zl!hl5;{+o+N~umu1yX$F^#RsBD1QBNEX=; z?KgXcj2Z5>2WWztHjG;<4EMxB05vr1d$abQY?bRy+e~Getfziq!*$@2JbKc{0<( z*)NV(`--O{l1tHTvsX5}a5L`27#j9?VwLbcbDY`3On3%qAuU*Qo->;Cpl_$D=Wn3+ z_-PW>y2;hKc!DkQ6$?n~rlj>wq_r->^}SDU23>6*n*c}Bq!_A%!fO;Ew(#z(Tk9~3 zc-Q+mR(wp8(^EaoqgWZ=oQje8mq~cmI=MZ)^9Z*XUHWe!1j644%m;iWRbC%|{F=Asm+ zkvz@g*%ThK6fUr~J{_~vR{+|uU#Ov zqs}PR)*6g{eVHxmh*1F*u2^66YVZhxY#mQRbTLbyEW$lywP$0QcTx6vq(K$$v_2(W zaW)~ey>G14nBK=#Jc41dN@Sjhv&TVG9k+i>#QO3H3Ian9x*lDtwW?K%4$1T2OX<-p zk=d4j!#g5qh;u}#@pViRMsq>$Hu$!WagUDxj#y6}t`oteD0@w%PYwZPftNR(&x8fn zU9RHAbY19)K9&NGlGaj7Q1~=YBXYD8V6$N=Va%GgpX)1E2wG!a2ofEM&CcRY`~LJ! zpYo^&Q(jmQp)6@k#-(dRZo>mD^PXCJlTlXWONhV*4HUt9D! zHwDFC0)tIgj@V^ZqB{^70}mV)?o!8g6Ey~yp%aoZ8KUk$g&F$bRC@xgaPF)&fou9eGsIs^F zzR|-5uflg6xa;uo2WeHlXAx-~%Mk4I8EqKfT;x!=m4@iN2o4j9ut2p1R9>6`15N|21Gi#9D{+JCRt@$eU z=6u^bwuN$Ry$QDHwB*Rq+B=I>(t7M(QuANmVh1~8>jGJ=Da2Iy=p@%WTiPsG(m?#(P<}9-o}mQcs9c=KXIQZ8Z*o=KM`bZFpDa zCvUZ%%RjHiFtZ4Z_d7}RqxOAvcJ2)JweM_|&fVUg4Y^7N474m)9O8<9>x~8G2iRwDt_%wfg+d%+8%usLL{}IEV>);L@4YOCVxywjydW!ad7K?+`?J#i|L`5lAb0G;j9ypJ|7@%|mr-s`e zk~hsIOV}sa(8K^vFF8p1c(3-6&PR73fJ>rBan$iNc?_|q;zK7~CWZ|}mqzT*%P2bm zp$msv5Lh|7=y^%ARAxqeLty&42OWuxx2B88a<(io1k=WFpL(=Jlm?GdctV6}F|bjh zAn}Wk(a)ag5a}t|iQf%@z}5m+Bot}gY9{rPbB-{n_&};dn;*W3S?OtK6qL6iBEssTW8&9LN^rEhbh)$+PJSC25K;f(ah)%EAyW#DfeweD$X_ zbJI_ojbk$rdINTC5XnJ$&x7}coVF+~H=uNbqC}%EFw3_}pt{fb>J&KX^xm9AU}Sr< z8$#Se^fSSCGo;_eMi**}$Cz7PWZnQ0nSVq?x^b{S1Nrk_3|>tT(t34l%`P+FTdUBO zm~e2Z1;YHHIlS_BNt1xJ#=ZH2;h(vqR@~u)`ykKe;28`yjl8AGm8>tzq9p%%-RA+2q!<4rCbK^^&?a}|Y`wEmu@Pc3kj9Gfzb*6frewkL>y}4wKj^+9PM2%+^lp2OTLxr5MM_C|=hT zos6?AueVAylRq-4{MgU;z6SV1M88I6W@``dUf&<*o_p@OKhFLAf?j>0IMwZL;mbtR zlw$5^rwytZJ+3dHe#%OE5 zJ`;|%wlkBpjE~aO8zgJu^Q9XaJC7AFJ~k9%iZs-p+-F0a z^tHQ>OuEVaW5wQqQtf_ccb1~9S-}|Mmiz_ zJu)_lR7=`p*Rili{OJp0fj!~bHRw$WnzLzJT2QK%PidEqnQwh*CRp0jS&Z|@hf~{u!tfbVWN#Y1{#3gu&5bP(!>Y&w;hsM^`bipjT zVp|NC=%GlV$vQ3V7)jA=K!J?uQiM`5oB$Qa62R~|JqF)vSI4i0BZuD!UJzxtbRaHt zKs~f?#e=Xeh}%Hep01H$BbewqXoqE}!pG2pqhKPtP7X2M-Y8Aj=w^NHDe<-<6s ziy09jGa2xmmtloAi68?2^5PZ`YXt(ZMcS6&Hf%9nU?|Hq;VpQBv;siOtkQHXJm@7)7-kY)=ao{K3H_;Z>cSfjD<-Op9ig} zlE0i1DTQYCf0*aKp*Xfa(2P|2zVb>Ljs8e5~@ zc&ec2%(Dlw99uI6e79ep3D>&yVYIc&8oMo9-kLhCTT5H73qxb;Io7S$XTrPxjPcp$ zcO}+cEshfPfp_lp>Vu`vzoFKdxEq(&-S^FOzWhD20HfF|;p5%0+G755?BBk%mgP=r zGmeALa{CCSSp#H8Vu$3Rb?ex8R8bA*wlBt+@Eg$9_?kn|lQuX11oph70dMytD zi(mPz&~DsUquf?Qv5VW7KKdEXd%YA=w6&$J9otye{L4~mPbNiyw$A4$X*DfFsM)Oq zcPx>%&a~eky@z4>Fk^Plo^Z{Co3wSSaoeiChw0JQMe6n4W`S59yUxDQdX;(U7sit5 zsXdub!U`34HucOl&`)DexROksd$nZE|Ff7M;&^a^M;*#6H;G7&iYscAA<#%(-E zC+*5I?DfV23c{`Pcs4Pa#~?n-?DAQz&RSn^gb#e8Ku5N$DfuTDjuFQ3tAx{rm0zVC z!Iutyz}_G3i!8&N_-ue1)YBO&ENLmAdW%YG@Vum6oh*vBgrEduxH{f8LWhP4WF~^e z6s5wa)=`ildKY!`C6m}odA?+cj1}M#dnq_%Mg(e{%YF%l$*xyWFS;oYNhZNPNnq{+ z)RH_9H1W{vs+X(DS0D>P{K6j-LyG|vi8SR=v}@2!x?}bRB=-P_flmQ5kK(OsXN634 z`JeGx)>Rf9;0G%`eRC$4r@nay>V zYaU}85jyYw0122RU`KrFX8O8)l?yW9S9fT@pRV7SBHH61`3&k@6i|xgJdyvtra{WX zCOJ^4_-_bqjaH#tpjc#jS!u~+xd;&X;AuK3UeA{@Su%3`^#t5Saa_)_AjdUQ!Cekz zL$`?iQM|=Y;Gv|MIi4`j3#ju1&uf9A?0OO?QDsrnR9(j5uDJxZl9xMERV-PqXFIA*1 zCM{T4HNTW)LE(YKB@O-l3QuFyrhFnfRJPz?7v?nY|1S80jnv!K6Slml==q1EWZ_Tq~g#%G(6@N5(-{dRL?t=m9kxs(22EE{`BaORFv<8uM^9i}c)HMy&_t zL%AH~C7p;t?x(%xrAX9*d2g*ad=Y-QMpCSRMfqzV&{29Qg--CeGQDd|6o)||kJ}_S zV8|4p5&|^40l{tWz*nf7EsF@Voi6IK4*S^WQtM9d7wL@lUb-xTW)NBLE3(sOoz}1| zrl$y0AGV(|a}OUvmz^t9uYI_L7F)l(%`*Rwf**R!<{gYyK)BcL$n2&wiOmL4c#sD^ z^W-62UPAAMG832|)EJ2?2e9B+4G%EuO;BBsv1lKj9!(A1{ixVpKE!Q1u6c9=W-Xp*)LjbuS1ur;b$y0p7$zP-p)XgnUfW6Tr( z9-U_>whsH{SB{yrKpNgzE0f>6@#p6mp&+e)wVm1+^M8%pWq*s>&TV$=_||C>TO_N8 zzh=n|9C8V8tRmOmI>Sj{42OrOZM%f8`cnz}f7qUBQ%K3T!VWXwn%PMMapU&57s>l0 zO|uV-m_N>EZdae!19hG?2%jrv?dHVN*30JX9?#f*D%IDgqQ-hKPqrL5=7d~KUQ_F6 zn~tSyfTxL{&$tC|DOP>?Zww(%Cf}QclT(2n-h_?Rhl?S9>%%bstW9mHy8ff9#D1lO_PFlB2+BwrabPesBdmi-cKqc=oBs}oCxDcT5U zDbFIcQoo43taS45JcEHk^%|(GT-n4Sh@b#{ktJ%T>8fS{hjm^(LlWjq$`&@JoOu1T z98duA&{eg8U!`z6q7e9?_X>utt;Hdi4IrX8_=yFBm9F5yoXLWdjPcN3P$tbN?D7!d zD#)fdJGbOOa|A_urm5#Qa-&#!Byu^%WNE(CVOfLrnj!u&acR>`GQKit%S*Tn4~5)0 z3_fw?F>B0M%fV=QV|rxn3shl^IugGCS|<(ptaQ9Ge%cvod^FC$PD5-w$|b|MKP16w z9cgQIG5-m{(vnWOxcCKN03818$(-%lP|CzI-&NTMt;z@wDX-Soqgvc9&H_Z;v(?I&@{Al zIQlWvk)|n8oBrd{tl7`U;w+Wx(AG^niYLy25jLh(`q1JmuKuJY3aX7puK7h|3(Y3l zI^Ln7saB_~^gKDAt-s_#VMN0EXS(>I%M~;g(BoXup6g8 zddos*iadJPW7pZ1pSg<}Ct>!5bT-|&yGTxMO)|#utYXu6x}Di-xbaHw9JlJSSK*1% z2=wrtVDuisNZOZP^vn)D{V&q|Q(LVYo}n?*S_auDPe#GWGKeSxf z&m_}mYxe}1-yf#(j5}Fe+BpvD(0tC-Q`GJ+(WHJQ5BsQIomW>6+o}0H!=v!m+9Qko z2}a*ZTOIX$1afuRJ~Z!&vt#ys;f7!%M^^GfZPoL8I4E;;BzTNs(cN}(ZA}rIfC5HA z%}H3VY&je|OL}W_NGyis2$W1nXhPyi&cJp6Tj_ZUbtB*`FqwH1-cE5PlsZ*huN>{^ zT0G=cs`3Ow6(}LrQRGu7Jg*QmwsBL9rS$Iu4nTR$g9>^b;&NGsW73{kOt1?9dSOO> zg<3(rJQmr(P6l~?5{gXVX^GI9L@;d#Ze1{e2|O>gscJzVCoCyahdqVu=&?Wq%Q#d) zI>!P1B?l{#5&Kbwf;Rw;V|=vSnH&NSX(t`@Rb?)1J&)2siAbE|;t3~w{@JBw${5@e zrvb1p0%OI)I5?hi`wNDRp>RxT#bhz+Lo=lebBV`K=5W!5CWWcF7`qugA-au;l|BJ( zjDdsLg@Q7qOiYxo(s-0d5|Ad05cH-sMcR6mK}u8kF5EbVt8KoE-y((6X;mCrUH=W{ z4uezyUh^x7@ih=E{eiA76P3d8D$cXeTjn=$yu?CcfyXi^HR|wKi`>ey4>0>1eY<{% z)XfkqrrgO6Ul_|hW9zIg%^K3Ao1r$-PR(YLhdd^~Hn#3YeR>or z<6I5t_iV{%l+%uOt39-6!`bl3advVV!8Z!6C<$ zw>eJ1j$7xqsk&(EIxBBB?H%Jjjjd@fB(+x>fzK!YwI!`@&M_N=&=^~*@b-tUb;i)v zUH>tf32oSwQd~l_E;F`nkprk)f7SZf`Y;nSS$~RUZszZ;Wv#!hrOBc91${R!3;$`M zNLSwG^`W|%1YNwCzb8Zq^09YkC%>*@6`^S8=f*3^`S)1X+L7eNj=h;ZelVykG`4=e z2!Ap*-EM)D{lGTwRcJm)dfn-7)w1+w?((s~;JY!f-jVFwJ#Qz;k~3&)MClsSJ8kqt zkB7&$8hesLVD#%7PiZqz75K#4p6920@jG9)_?25*xn+Lx8BQ-J+Ip>%zKgGl~mbG&F-M`F=M(_oW_s3-vSA_QR)aMW53dU)owbxe=uc+`VNd zYiX1I^GLW8_ZE{+ob(N&be~$?8YPNSrNsH>Coq6g|3-RI5pvYGoLdLMLEuCDY~yk9 zzZ|L3*9$mlq*_9_#=0{d&FBq~Gt$dtKt~x{QU>U`NyCgOHq!vJBEu7?Q5fXiAH2M0 zdBUs(nZ2}v3`4Pb?O+v$^rD;Alx>;kLb|hZ>_IY;VS1LH2%V@7Mv7cZ#iYD%q+tI9al};fhWey_`oe;` zj2)v ~1U;v)VuZy!xv{W?XW@o;Z{Q}o&31$vy-b};a-NF*(j=4d!AtDZy)T1zQC z3VggK;02x=gv zo?8dgCo}fcVCx57o_Ay^+S=Dcns{1BpLCe4P99>Rg9uUM#rjP62|fZIV7V_)_zrUJ z&&Iy7pB+(rL)TuVSZ(EXULuDs2h zQ#4nx{wR)rYk=J9X=}gw#CEc~8fK}AHh1*yD~kF3*YdsD-^wlgGVR#P+ZOHf zM<`U^*;;tCwfA?|k_mV$8eddVnu(+xZ}$h33$(T8c&r=Vu{X_igC&UB*3Vn#)nmQ3 z@dsW=<5tm7|M_L>-nV5EB9`gZ7d*2uJ9nFXb!#%;9m{7@#@2PW(}hA;Wdy{J8vpcZ zmRLA98>a6}&VNUBBf12t8QR+Zgnmc&Z{OtpkbG0uq&z4U@8w`>T&(42>q6hk)rW8| zg6u0HNL%}`Kbf04=fW<(B}<0wVD?}(y}Nl@wTARfYFbXfOn5iFw@mFW7oGaNzRxqa zRU4n|Oy%kD5M8?Z_M|;`tBs7DO&D9}wa$SFrmSYzEp&~`9g@hZ1o?oXJ9))winjh) z5|r@CXI$RMf!c?REn?g}I?uKyDo?l$3^XrO5SAnDJdv_~HU>}QnNgnVpg5kO-)UYErp6w3G9)}y0PpRrixxR<2xtX}#0L~8-~W*{FgFog)YnPUA~TpdSwJd7 zNw1&dGWhKa&Sq=eCs^mA$UjxN;)_={G)|+9x`}-OnCo~%Lb=Wyr10xY5YN0E@pz1j zXHbif+zhxiv#HnHapDngK_#O<6hrCN zR`?DQgt&^F%d#XCgmj(~3YlVwYNXzyzX7aPl^oaN3os#iO~2+c$Zv>9J_gS2ry=!M zvtjKNd-9~Mlj8l-*7>a^FO`T(c{asK2nlc!?@h9PZOt^a4F^OM5X0v zpLN)|YroK;kaK@FH}9jZ505=a_3GTPRxmF|tmj5GUWeo1@6yWhwvLSf;dUizCXH>^ z=jQU_*G6q0V{7edRWBfF>&6R+lUSTo?i>f=ZT6vQ%RXAq9R)u2A1|c&dNVB_L9-T0 zc|W6|F|>78v)1-KZneyOkhady)*g?rdjAcv5A=F67rLazP7WNr9RJOatI%x<+-oEV zwVD3n`&>SMZ!O7p>j|L_f(ye>oc#JY9n%qmpq1E3=!hsJH->2xWs(_`i-xpph zCi9)!xG-&NE$xo^`+Re6ZBr zUS55H{^jK4?)0;rsiI>;REatVrI`tL_BU6mLzs7_CQi}`#)B!8ur-;9N~s^sW-O)@ zH1-Flc3(f#4gErD6tURel+=n_z+JE;w%BFq2{tuU63Cn?^Ygt)@KD{{PCO??|6GIM zNG(ZJS;k9Rwwl;d&j?X7AOA^7f9PE7J(pct`=n(8LJ-Xm9~|61S^OjG|q@gQPX|GVpyaCJ+M8J^Mcj0XS*+=}|EAZ=NKB|{M zJyU2wXP#ea1mA&e`V|}j;Joz|t!FDv`_C)$U&5z9(1IgC(Xq!#((Ejyq;6rH;=+e; zJBqHD#M_l*0^U^+O4&y=3GVL+!7J|P?8i9M!Y(tkbzspapsho44j&b5&bWrmH)}T0 zS!OftTdhT^2M{s|Vr*Ruo#CUa0S%~`@OO1oLVQ?cf5qgPB>W26nx>P)932iGV}Ib2 zLM1}jV()+I)7*5jIp|VfPY)9t0uD74ScbNC($@DBd9JbbZ7<-XtU%@F{+V~S-JvgH z{hNOE#~gS$ z`-PBC-a{&19Py)P?@luN`GVb-7Ua_(nDIbc6TyLW(uTC%YdcdETDN}IAxu18Wl{JP zOz?FEX=`Gsgs07ZIdQro%l>}ann}sn)+hzR@#mjVi$Vh@fi?pkmYAXdV0|rJ=;JcBu(Q za#Unoe4f6SA2nBP*@y86{0h?+We~K4UI8u$VV0*!`=tnjC=;t=X<)?hD;=S6p3zlu z!W;xphnBf%^xz=a7vfyQaj5p8CPUlC)?o&b#bo|Zx+iEG1~Ww%&h4=$J< zl_sD5;B%7Wn$TP-5lL-A$0yJ;%2&pt*uw(%r4OeeFbaa~Ab?g$zdQkEmnt$yfJ<=d z$a;2~MBbawAj1>@wE;E}dkmFM%jOyoE&F$wXo65wbE4 z0*0l#b(+wmt>Fi0+#weK@x0I6wF?vB&eRS4@Nlkm>-fR; z3Hlv@JiN!(+|J4N z%5NX0B>AGfHOp5|Go^O;Yh7bOy9?C{$igyTuw>ckFRk0XW+4t~DuP_-?dQHkgLK3^ zGty}m4qm%BbuM4g*m^Eqrh|BWGS$49OHqvpA06mTuU@hP{_e0Z`6tDE4yEY}scm3B zV@#r_odfPmHk7jAe>;WgONF;TjysYWTNfv(R&IzXt0Dg-ry*|zcu*Ebv#Zh8^IWX! zE6^|Jtu#eA$y-kZ8dyaR59TS69PGfh0_s5qO93bHNnX6F!1YoQK%8qs!ZT1Rp|=a_ z$FO;X=%TAiSrv>z6FeGn)+raNurKkK+EiDlRmSAn0cv%J>-7GJ>hK7w7^STROt4tK z-iAwzVLJnFy$tCeXwA27fjAUv6IKM* zwaOhDemvm9OgJgSltj;t-V4##)znLlX6r_4|JU7q%12gKw!!NodcQ2$Oz)Y`)M zvv`Be z?oX1=#2?W`sQ=jbAVQ9N!u`RuAv9y_rgoXx9!Bv?BU-l(I>9w7HNK2T6TYh9^tIkE z+{5v=_g*^)6BiOp(T>6PT@&nOzQx#Tv5vW8@2Y?7AQ=tb)?sI_j7|7C%&na;YCHd7 zdi?EwTfEr){*hph7RGV*O2|`Y!spS}I;fShZtZT}zSCC1`>zU~z-<=#Le$oegxu{! zvkd?V+B)C2@79c~T;4SR{sk!L#kpH|=c7l8Y&s`R;UFWtbL{A2Y)w@OV-IGDYwqoZ znB=UPJwcO)wr2adEvJt9;4YxIf$^?uCbwlzcaD#@>{L1_Z9R>)F3{HL9)fg^7hYp) zPH+|GZ=F5^)d7GZLU50>rrH@uOluT7UKN|g`?Uhnk$uf#oic&ha+3WrlC zp@T3;s+-W57oF{TDM?hEHRvcpX>Z!_UL!F;@iBUZS-pq2yhdx#UoS+(+anM%3C@+z zDnDx;rE=)v@E8oX9R|sz>t(0_(GQN7Q>sUJ4)8=sIG!N)HIF8)HDCG-_HKbrOSC!Y z7DPDey)`@m$9Y7eWo7M>2*gO3;Wa63%{b7UT=)Wp7WEXOKj=U-h}IF>HXbd4c4b-6 zOOXLJu$1Fn@&Nz-&>5n%sAzPQV>NNPDX0^LS1hAG#9>5C&18s#e44R*hD4eW584Xg zMVyFAQH8i9=zysu; z?mZhLPg@+%3g{Y+Z*@gI232Grt=)1_I`${}wn$T(Qf86A&W!*64T}E`43!J1|CNN> zgb$Z;_qrCsUsUjVEv~POI+j^sC8`-0(ICg<@vvSOKy_0gPyBHnmlwKmzkbe_gWsbq1UAX$4kNCz+OL7tI7DR+}H0RhXWCM@lJSwx0oRss^iz$LfTwR&jnjp?Pl*WzU z4EwirX|@C7)x}Jg36V)ku{tXOk2%Jnie7AlsLtir7d-6n6sBdKy?s$H6zhYvFN*w@+ZJ6!%- zFn9|(9?R+`L|3KCm}KUP!t5Lc36D%3>mt1jyK7D@@9y&V`n#~-|8bGo6zE<*e?N8P z4$^e|C+x+g({xA}Xtkjv?UnB23%TS0UmOJEr6*Er?RnoqQ4nAE=uo~qKy1bH1kH|2 zv7qq+sb==^_{$B;e|jbfgia1~ixeJ~;E}*5(-`Z>yO_?s?+j|J1>nDD+@*DAZt9v zs?+~SMqK}%*cw&^xX6F9w)Avsn8+Ix=&5Up4yR!K6<^ONzc%T9s3?+CX$;VsyR|Ua z{n0GgiR>nKd(B4uY%x`YUhSA(@1in7Z+77U#_ze^;)vsTk6Ph~s)p;8jG zbpvQte=orVEuOH8*M1&XlCUUtN>KHSNKL(Ac2huTZHA8R6+PuhD^Sjh z;)`;%xIb!TlzjKtx@y!I|MTv_v31j$%j6daC)-2Mh+5p_dou-ZUQ2)} z8?3M99EwVLbv8s%zZsdIqm-?w@ioD3Om+q@H{vb5i(V9ieDZ5>ShZ=iqm#C_p0-ZH zYuWVk(o@;Ct{C+!qoz9V(+s=27%{fwqqK z2J0JlQp(nvLCY5T7}`2|NR3a)qnadfb{FAo*O;ZZ{$0|kG7U-EPyA3Y7u6b;&Qr&-XF6wuabDE?;2IyYU(*{R>0ZZ!^NMhU5a33d*CD6>^g z*Jip!>z=P$G4lAH;EwN2eL^w)5@Y$*&xHm5^*o>3QClspsw_W@lWwI~W538U=-v<6 z2jO=!zzXHeHLb7NL}(NNZQb17DQ6{ur%d`Qybj!G>WBW)twz?+EwS&C&wdD(S))y> zijH1EITzPY{l(qP#hHkmg*`Yy->r3f#YFlO! zKg15_O@f5luJcmvYSo_@g9dOZ()4w6xB_a3S~7sDfu?!dfX50oZgh?MgR_-AF#u?E z2NFuKMzr(ZM14u?Khs2)FHMLl;L27cnm2kylzgo8rf0J`h|T4+!jwr7dt4n68QSOg zjZJzY7taHXt&Cf@@R+>gC7=G_jYvG2u9u!AiIoE4u0&zOy{4pNLYJ_6c~sK$WsFWg z6C5oFm7*7B4+6iM%_f$_$9#lAG7x8SJcv8Xy#=p!I*gmcZmCBUt3Aft08&meLRkBw zL_!)Nz#~K8)^XMp1LB5@2!UA#-;2L=x%}Hjv1uya0vS%5xCub6404IG8`9WZS;sQC z=|YrJxlDG7<29PWvRBMjo@T~WA?cq4vK~_v^hA_-CVts!42WI*IAcSEt(ao(!Q$$g zY2)8uLG#BV6Z?3BFmVAjX=~HiI$>_1Hv z*1RHpHmdPU30%e=d+a%5>%#6|ibdx#NE=Q|@4S^YiZ zY4>m6N@mgVdpl$6JLkIg2WYj(&syQyh_J^a@QgFIZZP7MtQpRgtyg$L!8_E2k7rZ8O5^#JQa1jS?A-> zY%P8%*xesaL-u=DnL9}yAx`eOve~0Y%yl0kwL5CneLZP_mgC56_VLWVh_;m2RsgJkp?P_;2O73e zf&O}LVqp0qKjWG0ok8*qMfqzHFLuE+{*2nXiBSDg8e>8X$fZzAR1I0dCqm5yFqt5c z$kyBaUd|$D+@Nn!zKc+!+3 z4wo>h)9@(V!cG#SC`2!LZwTH+F9&r;!U9Z|siuRcFKCKxpzG!h<>05#=Mlf}3tA!J zYe$4I%=BkB0U7c|TW1k%B6LS-t6wYQ_X$-X*uQs4y4q-uKk$qBFZu1994-rgiF3Jki$E|J zdjR8T>oLz!oT>k0_QTD$*y0dh+);Y^!xo$OJq$n1Wq;7$ER~UZ#yJeZR(T(W+i(!uNM(cz({UD(Kqag|3{&c8v|-m{ zzG`n`#l95N1dn9YAG#M~Y;ML3(jnvJWJp{jl@WK@E@x?1?tAFi;eyr>pD1YUlKG>PbfEKxz{ zWr}52V$+!7btZ7x05ndIxGNhV^mFF!RIwc<6_L6OG45UnQe{Er6sFO#AN3wfYlvEa zdJT#%@Kiv#r9rexfY+du3j%*g0!>LAM9!OXeBTN729 z+Os7$h|!u;i(P&=91Jhk9!g#3rxrbE&P{*SktIv%4F3DYY+slydmXADG-KNUh@Z{cq9<5X<+9?z=x5VNo!P49!b&X6_imh{`nYsdTHUg{Uba8FzN zk>Lj{9QdMXYNWUEAgIYgTYGc2{%1~|UCUy63x}EgoKa{7Zm5#Q@#+ih+%E}XI6&w% zTIxR=DLfx+x3erO`IRtw>{l&m9U=Gr@NSzGKb5I=v=8m0lo5vI_W3)LO37c!;Ertp zZT%?YM|vRtaj)48V0093VvfcpmLi+IbJU*tm7#nHmk`=FgyMVumYs5)D<)$5bScq` z>{l1_4u=3u)e_g;!G~J)+4|eRh&%1bzZ+vi1XAc~ag7CSkSjoS#{HgUAN?Qb7p_&7 ziZB;>Pu9{-YmasGA$cZ?G8)&iMRYEUh;Y(vO!Dc6150n+&(H_eE{@}P|%Lj*6N;6sLMR> zAs}(*TD)iD_K9$p7yI4T^b-*wXqTDm;cooH9FGLoOv+UQUv$;B-RI5D>~L8Zk~>px z$H!d=TZ(OHYhPW!;YnX`vY@``{TDHfLj|n%wDtWAtH_4Y19z1dvR|dtO;6ZCe$tv} zV;F{i`oFx#Qc9$y=|7Db!X3*9?XJVtS*!u#7p@p`#@N(9vu3?vuQc0S;&OY1vTBZWtDQRymNt4=^hJ7a=I)Qjq_dunJ9KnO@Sjb)&m4gi|JTwdRlb1 zEn{LnrK3iT12MLrPtWbiIt`rLt2^@#)aLhA@)k(80 zEHWU;Ucg!fPz#|$iKC?nRmiXNPkY+B^q7_KfcWE;w9Z~`xp=_7Rr4*oo2{iy>&)7( zt+FSKG_Y>1zFP&9qt$)X2$D|@ofY*r@@U4{vN0~~2wF1C<&nV;N@W1(NbuLuRMd-= zm}dioi6{u{BYR?5{E zZH1ZeViIvz!8ZaJ>7J+Jh$TrGMO*I84w;5Cna`Z!nUSsQlAf6sRy*;07ycY9l zpg=;Bgm%1OMf*@v3w#6~ZJn5tkLX~e${2gX!~EDTOSh~3yt=j44*#A{o$fi76yJYn ztn>EjZjpc3bs+d;5;E#_%Rj*fSfBm^{qjS(WSs8XWB$=EFZ1GyOpL8@s{kK3w|+_*qh&gVLoLV*az{hfjm*cYxL*D)>dr>DA5w+t0=F$8z9{c^T$=?Kk$25^@{>Eq^!ItC&+E9)(+0!%UyHETBS5-)dG z5QDg6UYuVw0(8v>i%-e3z@yPZ+QEPjblbT3gPb>jR-n^Tgr3Klg&07#jesB?zkncB z3EMoI0;MU|E^(5E7`7m7aaokqwmrLAEhZATMp=oqGdHMJ$O5klNV^fI)0=)Yrz9s% z1A4kSX~}X%2H$L%Hk||U)sJj$t=<*Wac(~J!+pIU{M1)Y5;wBuKMIh16(TPt^Oy}L zb$ow04~(soU_OJTW%%*|-&EIhS3s7}D;0ESwugsL0eOWF92tGc*C5He(N2Db>2hw@eK0=o!=PAxDCLr zV^8?vAexo*ks$2}r*v3r$_@;_K$02K*O_z+2XWRxDLfw3O!y#)2=PE!*gJ2-T1Va_ z^k%|2{QLlyE;Y=82q@m=eVPd`j=qz9inpJz=F0fHuzidgF@G&H0Bq{*{zzKTpjW~l z1yII*?zkn;FYMPCMlW7zL0i#_#mt^T(2l8r9FI6Nzn6+t1$>p3N%aSB4!d^!WOkwo z`x5_r$7i`7;1Eh#9-0YvGDv#Vxu~sQamoDbml<9DHre{st>zzlfNewD_gmU{EOivz zYH&jN%)T@`!9>Em&ZazlEJIr_t5|3Iu353w9cKOsqGaH0Ug-V7oBI$L($;%t2Pz!v z^a?U|@~V}h^+>05W837Kr*rh$qUBWPx83{hqHVuEZQLn2k)^+~WZPD=JoB@DfLj#H zex6Q-IP2Vy+49zODP?>8WNSE`RSX;q7;e0fHv$)QGqxV6gnRS99Ox9+DK0lUQ5hIi zjsZd%2}0}_L)%bS$_-#LZPKTbDQEA>T5fn;UKdPA7l8(-Yav$GeL{ipU7Vz%Lbo9j zdfd=%R+EfWN;Jrb(xyOBIUXqZix)5`ZSugU=%!5cVNAzncyCXF={HU;o}c=Z%jIFc zy+dH6PDn(XIT`qcc)^*2a16^Fu~LYlNB@`ad21E6(;xLRzBjvGsHDH{K@w4&fwwXJ;i14OeHKmG1X;XZeSw|@yGF`ch#fBNi+dT*ZW4y<4cu74-`brO zYR@y4Hjz7Jyk*Or3oowcmW$}p!bSI9gmGMcMQ#-UrR(i4l{LdQ>~?Z5M@YK5L1U~O zGMtsi7BYL?qkgDAv@(Bay7Ul zaTf7<9l$POQh8Jb%8-oE>fRb!3v*C`xjCJ~Kw(;;J#$Pp_{DNPTzLJVE@?q0>Ga3D zyl z)|$u9k@<=in7g0axu1O-?Q5;u*5w*&67EB|uw(BlGJlH9-ZtXQGUbyecW4p#seAj0{wgWXN_~o}HnDd}D!0vjOlgKuNgF z;^-AedM;t7JMWj-i?XB##$0AU9kR(Ue~S9}iLEx@`Dw=mnxGy|lgS{Yz7Q;_Q+G~I zmD%8ukwt| z6}cTn7szIetnEsWA;n&tz+^291F*bvGHRXbjBCPYow5r5` z6yKFEF=h)?>H+cB33^Pit&!3W{PRQzs^9R$@{ai86CYw`ujabgMp)wTcB5jtKXk4a zacD(YRf=0Y2`)Q=9d(#vI+bEC%K#9z_Tr7LO=Ex%=TQn9x0!lCVc?d|x{In&yL%DbGKg(ra+cV(dS+veI)V`MX(A%YTGBW6fc2+W`oituAR{7rO1}d_ z*Fzuen8f+XY>}9I+S);Zsf`Bd&L_{AtNHOXz##DEG_!NHqCi_0xP<7~_r)~P@J}!r zJ(Ji?(yJNTy4*K(5c*@!?V1`6N72@P1dkMcVms28WUO{OL1ArbJfHyjW53Q<+B((z zWXrf7V@+GngO|4QF7rgi$rrHv(Hq+3V$aW^TUrbBr_k0?!WoO3RnV}Pwwhb`7#DWZ z0kH`thr>6hIz;P8VO&am8YMlBhb|O0=vD($izoaKAjWMYt{VGd492<{+M4c>x6FS( zs)bZ&lW2#M8^{#*Dts&~*4QR0gv~84mK~_cAf$fK$dhC-b+yi` zvE*tST{_%7HW~6Gg*4e%>yfrgc5*xW@oA*^xj~ETSS@?H$@eG{PK9kQ-7%wnoh(zf zMa%iD3#!)34!_{xBw&b;CYNpC;W6tB4KBx(jX7V(;qar%|Pj;I^5VF{dK;my(oRrVD7}9N67OK zux0otKTAeKoUO7MN`aSSu6KWE;!V>WIaGo%W`Srs9XxPgU38-GsEn_Hqhu(yD(FJ9 zWw7QvsCqUB!OoDhhaqbzJCcy%C)Wya5A)R#($XDP zNe?`ZCz+!V-96&8*DB>1rlVHGtsr<-L8f*VRS0`9v3Y3IdUNkigvOe-mMsKzl+p}s zT|DdmrElTpY@V@o>aNSLApM2?j;;Z#f*IDyeuAA1Xln;QHMtyu;g$y25;?5a0gH;%2y)vz6>qk%@)|RT zvsE-TXa5q5)_xmFCbKu&Dcwc-I+9kt+YD`87m--A7Az0)_|`E>_y5BguTNiJSoqBN zbg}iPb3PWo#oXPEPOmH1hfRHEYGRK+@Y`FbTmWzJnW?;U!|&PW)Ja&Oo}jIZ)jI33 z3tKJGMHtkl{^04Er2DratGihCu9p)N{exWS>jO4s?tm`IU*2h6<_H-z8$s-Aj`3yQ zd#74c^(!1Jk|TaRT!A!xVdfi}=>2*Rd*h$Q{86;EEp-l*&6GQyINFEA*N!YS$fRSf z;jU#nQ|==jrw+WaI?*w%sj>HF&hMv!ol*<98K5GStSD36MOC!j4U9Nac1IP(G4zek z>=C3ub>~@#CTv}vfxtmOUl1xqnf9l*%G49&%JgLxu|A15aS6P|PKPS*Z&2 z*LjoFvP>_>J0n!pBCr*KLAQ@W$@vY@H4S2!OI@t-C^OK@p|VDG+XtkrowFVZLIe-7 zVWOSzBZh~g-&1}emqSfH;L;z^)^MO3{-hIobo&Rnz_-lY4lWF%h}`r(4*`NVL-lEg z+pPJ8qGQdB#HL4u>!m|3utt0y=?>scksV35H^K!v2sxT6n(m588zpVy%nR%U=g9td|&8(5_$b# znz^xu&yTG={=T)qlz}fgFIZSjtTVZIkQ-7MTc;0nhVtgtdXm*Wa;OyZ_>TI^91HS{ zt?7OE5XaW%kaL^~pNQJpF}8MSYg>M8EQ!UTG6`sF=VvH|&tD~WHv#_qhj2$eVlVm~ zBlrE=1)QKr}M>05zZd{BJq64n!9ze#+YrCT)q*Vs&<)ok>eWhooQbrC1G3&KFhycy1Doh>CukG z@pL;2FP5IXnZrTwbPmd>*40E+awSKrQ9q?PIoP+gD2k%c!XZ6 zix=Z*l7vJ)i;~5)DdeRA`oBe#oEkk=O(9H#=qZM3&}wH~*N3B&L_w+pKT3HecsM9Q znbD}kzZii*?nRQNS*3-9pBBGMJhNGFu`@NDK)qwd>A3MbL|#gkI;8x? zb(S^`v+fh9S|>aw${rezZi;P!*Ygl{-xuOVUWT@g3C3N^TIiO1=BgP*o7p!V9gAvk zpaisaSd6&p`J=7*&Y7ghff5FDXltiIck2YlNGd7L3gb0GuMUq|aw1oz3=AaXrS2AH zpMH?I36;T4U|TSyo0wO!Y&h7QK7^a#p^ib^=;>$wyk;YEss9DI1Av1XJ5;%SOzg2d zt(kBiHwbr8SN9V$W9t{?vGl~SpLA6;=ix8^Yg(fhYp!@Nhm1A{d4oQa`u&O0G_Szv zTP+Yh&GZvrP?}yjmdqdK*VhnDcygZ|Kk!e?J@-;NYLC1%#I0xeZVUwlN{Kzrr`dzh z7(#R3g|j#T?^wY2pe2E^wLNnj560Hjkl10BlDJiWiQis+vBJ>nXIppaA@QVm{SLoXa9-GVgX*i$ zFYza}T1_k=%1<#XV?AC}oJlx!K`xmsEy%Aij0dh!9i1#g4)At8oR7nB%gX|-UFiqn zrAw+~DohTy=_nH+YzXz9&nUlQdhdpqm-Q%UyWP5vh%^;{1aF_^*A0a%IMQf%_*HTR zX^7z%pX&)q)(rsp2+*jbT`!Zu78K(Zei0yv9sRh6zNNuOx)*SS_vIi77d3eCj_=Fi zZQw>qE>OQ~NUAOqFr*~W7P&b1)Nq7aoKMcfpM&9V6V~<8gkOK~cxTHcP6N4xE$flq^qTY1cS_?F3r?a2}be!HxjGqA^-BLF@ zWLY;uTQ5$YyDCqLx7u>@bm*)#Rcqm2|C^W+Tj;a(f^jM3sI8X|lJ><}o$k3FZJlc- ze9MU;gir;AGYfZSSrWD+A^hOJ#wJt!;$oUn%p8A!0_Q*D^OnkEW9SWJck=x&Fyh5S zr)}qTEQ57xzKHzX9rOA7f37lDV%Dj`nzXg45TTU4)~y?w3HOPcdysUZt#iiK`O49E z1#l`L^7)?J?m4>!eR_2T_9fmFr)NK7!Is{^+`>l|f&}zqSfoEujxP_>t59X|0o1fV`o+G9h3;0W>ZA+SX`xAmYORsF52>H? z+!f%2?VC4iJ4&w{j6HfocJC^kx7y*Qj-8wcLg^2brR3-wQ)CBH-MsB;$H&{Qdn~DQ z_?FAkEo+hG>CP2v98Ve_3|n=X(jd@f<^?<<>vyvdBau?>C?wenIG4@^e$!*}P>Z!g zDev)9Ogl9OD)A*c#*M)DNUmjve*Jo=By~f*kUibuE%Y2V{_z`o4dk;x0#h-fgarj5 zVQR~4x)TeImxrJjVtpkRCA}Tt0?l2#Ofvd!{EBPLo)BgFRmT^JY=&rE;K3eKEDs9NT1-t*_OtC)DZFYR^3+wMT@J%1($>p|P)p2Db~Xrb=gdT%

t#@6=h zSGg_rKgDTtH*{&TyWiXPs~`S{H{C`HbsiYiNn5M3c$Bi2wswrI5kpsd!l%&Ig|u~w zwjQZToc)1$sQX~+BW4HtBfj3(vA1}U{`6ruWaE|YcVM)QR`y3!4)e)jvR7kkhx_ue zc^}8tw*9dyY~s{CY=*<9NwACH?6x26UiaSraG^$Xr&$|BTl*S9+`Fc&GqiOp5ZV2d zCbYF@ms#=T6YwJGRzPAW-iM-j%XW334E6>GkavBCCVJ~5mcM-R;le-i=FE0{&)H)K zAK@!4aVGp-uZ-<>3sfI_XDzulJUM5p$N$!rpZ`{k&T|naUzh5ST=C=I{V%(J71^x; z3-n*p*jo7t#O3MV+r^zlk03Q`wh!HHq0TBaW9v@m5NTd()Yk}H_7`ovWBkv}cfYZv zep!T#9mCnJr02mb)oFvW_)?&c`FBV8MG-bR=#M!46r0ua(MPXL7Xq7y)8=kVuE~?$ zeD4J@{P-WA{qvXq;zus%E_v>aGQLjV>43)A`qpBaJ>is_vE&Fptv$SUhGOV=G85)z zDdpHJ02H+KGV9AMH>K?g>`T49`Pc}?<10_g7db~Fbru$|a?Yj)*q#zUpgKQ_tTYzs zs_b6`{)+8C``7>1|Na9P{OBxE)qQfFj{)CQ>5!krPXTs~$av$Adi z77(=hfxf)Ko3ho#eufK`vbJWaae)Fd2s*i4?p`;zjXh$ z^DdF5cR1`$5SCg$&m&rSpf@Blq}6h-YM?s+u<8n*4EOUeU2-%)pz|mw3$DI%njx9< z(`?cx5--iV%=tPOgr|vwSVxmqGW}UlBdDz^*f=c_Tnw-N==RUQ|6}jF{X*Bo!y>8V zCnlDlL@BG2hmGGGJ-Qw%GEVgF2cc`RW2M2lgt$ov;D=GZOXFbzP=3b5CbyFlDx^(3 z7Ucz`!x^`xkW;IHCS8?1EoP(71+Up3-@W%=?)ufYoOdF)!{&!Nyd5OjwiZ$^ZH>c8 zGMZ<#Lu?KqhBD*vsf0I);j9wvbqEe^ZQ+plt1s2oMIvo&bJErnaN8B`$g+pg);@oH z!ai?TEe88;$~4O*H2wemuRi&SL*Kdi0_UJzW?HuflABPt+4{u#G6 z9Y0WZ;4g|b{Gb}Y6uW)|+IqvpG^uyLZ+UUjDjxl@c@q^|qX$P!!ntcGC-#tG-@&j@yrjA(R z|D;yf-M`@QxCFuv|Miv2M}G1H=beLXyV26)Tb&B8v^4|R->V1Phfpcy#Rszyv~^%? zT}(PkMXG2kVgG%!{CwjuJijH|R3WFwdv+@&^uejjIxiI7-uwvN&qkK|k4@7?1c|fR z94l1P(O2qMJ^G|IJNKkb+dCc7_PmXSOWAw={WtyVKfC_VE-0mbipiRkb!(w=>*%e; zjf|}qlOxwBjx@F&u};F+nwfBCXP*q^h5_OB1;*=>?r~5?%T2?5kuUJ{;=vamCowHe z41bnq3L$js7`~5(7RxKaT^W&QTfeQ?=+fpF(pxI~7lHrXFMRj2hj0JgE$3ZZGS+z3 z8aOWpK~o$6T04mb2o4UuF*xo;m_&=Tc@$^hQ9{hgVD8k=4z%viMO5QcFO&ku zf>5!Y-6NO4+5eZhw*j*3sO~(^z3T`4r0eKds{7e1a5m9 zoAJoIo``0Kb+jGch>kJ4%g#7f`}^m8uRp8ad!=#2?5TS9=EupC=bZdFnR)Z(ef$6R zKVE*8oEOcV{9;G^|qW*3Em+ zTKudA=R{b*?fCTsY+RkSE;BEhVtRAX0Np16`Mk=T1%{M1-Wh}XWCdk9l~Je!_gZ67 zE*Gi>?#m%Pd+K-2zY-B*M7b?WVwracPFh6MhzyRQ4rG+HGayFD(H9&eyp-=fJcxo^ z(iyJwO?46BCOfOYEw+X27I*Lgp_1ig&d~UnP)ePgQFnJ((@rKqXC4qz1C@8D6fHKd z1pmro|0b=KuLvnZi}H&HWcVYL>axz|$UEmCc8nbCIjMcliOIAUm0XK2#o`Flv%l|NeSuL@dxbs?zKjCqz5#|!s>(dx!sxI0`w#xJVs zLMXkU6<#F-!DO~7ow9hu%;P4)OX6giRAG7s=K$bfz=|EBuvvJTmm^sW&HXM?K1rsF z)bwyDR_i8;Z!Iy$kkR(-ea;-Srr9t4E6^Sp%F@+0$BZw}@&`2O^OrSipB$;91TCy` zqHW%q#D1N^%|vU7+hdIQbG=c%L}KW zzzl4S>U+@48K13J!)v{;6^sX&oFwTDW47nCv!&K=u9I7eg1{un1t>qyI+z}VQdfeG zC^U{Jj1w&n&X<|)&AbK2cZhg`a`uB%Sn-+uHPt(=>t4ueAcy}~^nq&!hnQwy3m&{b z6z&uSyS<)ej>3S(w@>pqVrnIyt!Iq?%=W}SJ-fvY?0uVspZ(VGWx~ZAY1Xe?cSW<_ z{dC&qu#L(p_)jC;dq(GZ`Igp>TJNnF3GWdP-~A-yrkQA?w|?N_QI02`$g*&*>H1+` z5xkzN|Ugaw{jmT!r=QMsv->Aw{S$^q%~WAq-RQTzCP02mrpKjN8bI@42Zi4H@^F% z)M0SbEnYJI(r)%bWFu z=r$%I?8HmGtd^!aQSlM6++LStfOZ;LMdRtcN^!j|n*dUK2fl=>`YhZ!h3d;INUNTf z2*pK)NL0O4-*kWm?Y$jiC8*4jZ8lxGSR5s?i)VbcL6=z9m4R+tUkOR;|$s9C@M zie_Cf5(qq|RedfTi-MlcZDho}Qm)XUv$~7eY?4L;E?n9V3gc?X5?Ae_E(%_djXJy< zsaJ@4s^D})qH9!m2|Fas`O1?Mu_EUxzq(3ck*~Yazq{;iJf7d>;P772tc6hOBH4i2 z5{qTd8T>{H!4FxPtE#5TASieMn3@OMXI46Ca}zMZxG23HYrW95)@++(!}KAu{D5km z`LH$aVE09PU*Y2#XLx(C8aYaag{v~Vs#((xT6NH(wdRgdPO;XECFvmGI~-(LdbAd{ z5#f$vttEMHm?pZZ#(#Ip1E-qW$EE;lJ+DWX`d*BzbsnuC)*6|TmabUqxR!DTYaQ1( z^ZoD7ZTH_7D*=w}Do6>vyjk9~{);aT|8)`+a~Z7DtcxiymY$hP=oJ_O{u#PFL@!vpJ1Q-^yH?~2tVAvB z?@=5&ktj*-^JYH&X70GB=df0tE-PZVq>#B z+yXnZ7xynj(frrA@h0tX@-MS|XYshD;rQ~^Rm+j+ie?>)E_pKHjWDDRdz0_km&Xco zzZ$$U_%m}eYqw@gVQ(62p5xMEcA)kEXD6FeKAE0!Q|%pbov{be9 zkB&9W6$@0Jpg}lTzT19gg{08rYQuF^vleazp{lt)4^*Evg`IsRv2Ui7EfLGngu_p} z(Lvw%mQ}r%gG?j|kDCJ2n$IYO5{j1BFOn*p!<`Wk3v^L8G2|?8wc&ZWtL)|P4PNZg zU{Dr6>{JdNd4eQ%%HdPp=1Gpd!Lx?vd!zJ@C5JReOt0rkDPNmoZ9HqU=D`*lo%rKD zd=c3Zo#QR!@o*}re>N(l@@#l@idm9OS%nh=xDB-Kl(j&=`oxNwEJSwEHcb23~HUgiP4ca&K{i*yo4aL81hqv>S z)5+Q=*4PI`D{_6wy89e_daU)t#H*NFKQ|NNMk7u}X1vARdI>wFxpgabX-m2P1}Z_Z zR&KrCCJxE&e)hHD9gBYm>Zj^=Q5E_?W~~59?N$tQQ@H>8@O1Xg_Z7}RItSnE4Sqw& z+wVw%eUA-F$6=u_C{oFP zqHJ!FaWVUaJ#&^#{?P0*55A@qp4wYl>n|C?_sfo2EgR7mW?eSI|1zVgZ!Eqx~8_jn4+XA)*ML|i|jurCbzX}^V0*qw;R(vT0o z#&T#}%8CN<(7BUzb{7KzJ(&wHxsaEvC?sFMzQ5F@i9PoP29nqDs@i$_!!u6>%Q#Qq zQPffDp}-z`m=6yx^{+naYRcx0>iPb0?wbbQ3?xn9SMu{J)efvQ%v_7&jN%`nHu@%r zvs3`zLAeCGo2u6xc`&p=C^OSoEGu6?1b9iN>gM^GsHE5APVOi&cL{3>@#u)3yN4olUnHP6WHC`BbGhRL7#H(S13rjc_ z2zBf-Yb%*z`a(+>U|Z6aNB0r32IkdlqE2*|$6fF#WFr^@Q8f8+V&XVp)^<*yTr)J+F5T(XVe>TO<#b8K82O3qp zFJcBE#`UE611~0|?$On#AdtZk_ z;BzB(*HD_p<*!cQhPQ6sU^cHS6OQw^mrUL@;^*1 z7Ju(U_}RCqfcio!fTE}IOvJKf`_4#e4}A#vAH{&tmwoyTOSyX>3HEPk?v0;F)2^OL zurbJ52i_ibZRXZhudp%l6*2x4ix6uEr}Qwt+sy@4YMzCeh#1Z!P1e!@bD7G^Qy zQ-ScFfUXnAaUHgFovr=xiO>^yoyhz@A(-D1Z0OEuYn&NNm|H{h5zi$*5fh+OLGWMG z5PZAoOG*^_eBN%~c|(+?LfGJXr0U01(iQkOQKSzI`*Fsl!6@!WdDW++mipH*?>yf{ z>vi8^YiYQ}Wp<;H7uNp7RueNfQR7Y^i{ z{IsYa-lLRo$1_WJn_NT$yoEP&6E4QFW=vBeqUv#p?Fo+9APjx=R!XR5aOB4J+$F+d zWMo5F%DgXR^PoU0e`u3Unq+>q683JXEFVqHw_qZD40$G#_;@WAjref9QJKZ{3s5W8 zFmyrg-jJ23h_S1%vfyN(5*55xYHY6Nwt9;;Z^=C%_*lFZ(Sf+QjIOd5wD@&csU#|j zU@s7eI-^Al+J=1f#0o6N1FV%$7TtMKhUgjNsG z8?x~=VMm#CdVS4bgZF_sl==HP9mlBu_;Rpv2Z29RO;V(kp5UW)IHL;qUhyjC)|~KU zbB9UYSqZ=J+#1R5Y9Z!yjmo6LipLcb;_8IJUTBxpGA?}}54H?S9Evs{;2iEhA4yVu zwqAoey#V+9k0&ecJSttfF43Dpm5zH+b&fZ_O1>am4;*BUJ>4vj87twIgwda7ZvAqa zxl`;T-Tnv4JD!|zMilE5OmojROX+W|tY4iIaPsFet(N^fP8J+;dG~ ze763+;;fOov;XquBzyQnL7UZ?e{FSG zYfD^9e8#$5!iufV?s8rMQo&l0eL4&BRFkLZTK}>r!8(v1!Oo|wN$EfrE4JH1fmzHy z3^lDi?W9AZFo zrv~gL!Os!``g~+DL|mda1Yg8ue-)1x5@v#-i0!BEES_jM1;vhzdx%{ec`2|L&}ank ztHtHb0~43jDVd~(KHT?J>Em)x6%MTBLs=t=ayKyIG(OB)YCOK&0Z zDtlkiOfjr!wVx}7h%pskb&+EuNOA{bE=Fe|-lDa}$%dq~Dl7Co?*||jle5MOt^n=< zOzh@CmPybRB&g1)RA)PZ+?pDpg*4!dAY96(I-aZ(w!tkmx@&7IR?mvc}!AFj66S_v;~0ekIyI}$pbtVL^07HZNln{<(= z2PA$^Xxgay{gsHckb0)jteQPASKi`}DvZVVWIi4`2V1Agce5mHFfgr7tn&v?14WGg)2!nFA z=F_KJk8qqd_hese60$%@G+wc8_AP2~|LHWXfr1I{ z^sR}N-@qzhtvP!16Q09X?U}bboqTlS5LoFSzutk_2MRaZ>RM}i=|pgS@UM(6N-Bqc zSDL1G)=N9H%j)6RlBPW}8oFQ2Rtkx>=yzrQq0+bHV*wtiBhj1q zofjtOzyvGoXDyk*SBO;F-sS@L0ia3kISZ-dwa}M|tH><}1$mOo`f0)(t+j(4q^ly( zj1z)aFCtV=H7 zf>B#y0Mj4936^C(;`;vhmtv?1tsqATN|+&BY|2Y`Rw)XVeG46YMpCn6xD@p=i{zP< zB)BM1gXOkKEP}4P|_R!2qvgBLgxdLqtYC?;H7w)|wW?XTx^u6Aw8^o3= zJWQmiwKcVRJW!@op?NQK2z%85y0PTxHVz#Q<8Q|i5_A1o3|h9PPaLrsZV_lNtlQ{C zbp&m80_`5?I(bT627wuPbNpfkgCywUgzK_WE8&;Tt)E;z1Y0X%xzbvz>b4B{5-Tc{^LVMv>JK7o_N5|3Vb>a&xgeb0u=K)1 zL#$f-m?cC``;M$sykkJ)0=omN(O3IePA&S%&oKRV-{Y8 zwPtg+=GI5U^5~D|%&li_`qb8RYWpwgd(82>p5e4J|6H^1d$lEAJ@#Lme%WNdi{_rU z4$=sNZyp*A;hBTXt#7Tl`NItOc7I^lSXB@76=CcH7XI$zcG91%ITgC9Y(GG8i-{G? zt$BTRfPvB#^iEIClsX{8?&Nod40*{Rq>oVHLUo+O<1gM?))wF9Sqb;%L2}_mbKEUy z?b5A3;#56bZ*W96hAclz z()K=25o{J>bDdXqms)GqvX(?C@GDt2iG46_*x3_=&?(Dl;ocZ7Uk}L87Jb&TzZ8cC z)LdexY$vRkFKA|q^5uPn$Rk_A9aG~Q#EuC00w@C~*%IoiIPm;b%~<2gX5e%dr?ioK zIUG66Cq51+a_u{tCbTzrdlrP4oViS;MkCu2cgDgbH`5BcPM`@MHYygl_X1cAaZt>4 zur?vQ4kU@oF_z25n->Th9Jg%gxVao>{H~mrR-^@eiKPkqIQddQm7X1|^l-gFl9JRz zlM~#i%J^CdqZ83e6zOb92Wp+)+#MtJoiCE?w0ZO%aVN1HIce)hh z2w9v~Tp!3z?5D51*)ptkNIkFnrm^cx&9RUY-a_(+lDzQmHtoB2DuTX1O|*OH{#u)V zZXH5=mFh5gTxG4pTG^ss$$xazMqf)AVXcELoYCz9@>=U$#kLC82&98(f&+aU+ z)K)5J$&5c_NO^-~g1u@L>Z?aXi8Z`dd1RNjq{K|_ol>vFi?o9Kma4(*x z2x)Ow!FqmDYlMq~2V9xv*6&~I#%+8j5AT|+)Qet(OKb22wK+PtDDMF6I#hJHZcam0 zvOuD0G^e^EX1pShnqRXaqEz%47B8T?xIWN9y&wk(HiXPoQNC&)@<6AdOuEptWtx<; z8O#Y|>uzw5JH*y33vp@D_rO~}N=IV174j-A+w=8o_NQmCY_1-EwyhR zHWxS9WWYJFYSrk*51@EwQqmlPw7EzA)SN8Xwj zD$}sU#SOIP0U|Y04TbrIE|-9sG)1oZ#Nv!PiGiNnbT!#_B-L+|pd7_d2wcR2t9ZbL zcns=k=ADRuL(_r)gP{6O-%e;xNJF*KJWf72#J#YUNpyOeGJI#K)H#9@`TBrwfUr0( zPG~yXPq{gW@@h9Q-C62XatVDX)+2*X;CE9NB8NUpT-H>`c12a-c_+8)-uUi1qt+?p z%@d#cHI^fT@GI+VQ&qjHD+t;1TIJ|{Me!;v2{1^fe+4nG%12?eG&HHTCg`HR1Q(Mj zoeP35QmPuWl(2&zxYt_4oesy_Ym{q0V2%|^y08oCQb9BRy5QHi*N`f#nIWJAWN+;~m8CEg7UL0xVES*IvQjMm_}?_GyeD6u@TH-{r&(V-bQJTqgHmV9 zkJm(}oY#3@X05;AlK#&&JV~FrjQe7hz_S6gLjDgV)ez}WT>jYrZ~fqz7blor-MSFI zb;5@H(cv-My;F>N#uFhREJqY3Hmk&YK49LiVXx2WQAd81^`0Fz5}Svjdq8siZB~44 z`_R(!y!?ue^K3?wx4+DMaF!oiNQ#&AeZ2qcopw;==6aC27?s}0mmhzq8b^ngTnrz; z<;zi5aG)^dTFZ{7dJ+6w@x^ET7JE;%#&3)FkJgr9kp-jLSY|K0*V6lUhDds1+fSzV zRnF+&;DTiHX_C`=ChUWL%^KVMir+PLyZtZh%H^{)Zk%nx}C{Z|39U4%gYMF1QWnNu*HHEvJvQ-cb+W?6&YpdY*kL~xuFq8fzLo|hOXdcbKr$8X%T@lvSBFlhXb2Ld#)tpRt>w=b9+$J0OlagPYEML zag1v&iG3BQA%crebjOQLag^?rYd=_^+s$px#BFEr)Gx^;@kmg%A&_NZc)7uoyd-HY zvn+c3MC$x;5R)OBa@?IIF5O8%rNvOFy6{=1%Pb7{1*RO1r83*T<}s{3^-|@G24Q?= zmn#;(8n(S=1vvp4x2 z7F1@8C0GFZZWYz@dZDZ7XsDGPCq3foNW@4gChr!p>q)GN^np8ye3gK;KJeHf5@W5) zj2de6~K)){16kN2UyGorM^5XEtkn%SD5*pJU_YnJGUMW~eKVKox5} zVt4ZhEzBC$`UY~E$+|8`WGA4$#W^wp{taTc)Bn$A#XX`!EQX%)$YMzilh)Y|9 z*xODy&b;aatt3r8KnBOQk6ZchxP=Fgnnpyy#`aOTt~t3YEke1)N_5&yX1D^2? zC>ltv@S~36>g$MSYfBCpIB>%dji|J{o76!^Urb068>h!cE~<} zUbdk<7AXv|B$1OQvol)7FgGhv+XIPuF(Xza(73-Cut^uzTCd!CS?f0ajpo)tPd9_v z*I_Z8I9C+Dc<)?pA2J^>6Nx?u;1_*n>7CgCy=}#iYo3A6FvIK>YpuEUB6_pJGa9N{ z(%zPgWoK_dk%NJQ7(@2(34_N?gT8U6xpl6&b=H|%7yhvEcyuf_nG>fdkPopZ>cEXf zsgQ}bLfGGK|3|Z`B|UTXPdLfqIQ~DaW33y^trwVE2fL3RV>w9z{D-`( zBU&>(2@**iVe4VC;?Hi;65GXRQT-BD@x{!ydF4db`diH;@kgJ?{q6&vVZ?Y!r?Y}W z-CsR5WV0Wsjk{AfQ6v8R3yJ&pqgl(7zW%ma5-K`9t`k1?QSR9GxfE-y4r=s6R(U-yu_D@QZ#Cgp8|V=Ps$=oZ;D21vGhYIVNjSU!H`nB0f{gS0#tZ*AHApNh5)f&JU8}5{e%8 zsIrn|fnVgxad%c9??$NSA;Jr3LDpKV>zerJ=yfUAoRFx{{KOru(Bl3azY0dvByA;e z&WJif=!S22ud>gR0~JYj5p53WPgdHMOxP3B)?iG-2p{q^zyj+OqbAtc@lA8WlG^;Mk4>kc1aLCreWI2zJm;IKIU27ZH~U&KnnFk>7~ zrmcmg)b@?sV3Y4@TdBt#GeUFLLc9*Btc98-HM<+#xY^4ZMi=d}JA-+t2nEt@LQe3I z1uuC!T2n6^E1TlF6{&Ksd-X9iUsCVaSQn#bps&7~bBJ>2tb}topS3fr^<3l-cFtL> zPnn(fa-|s|d;r?x*8ZV>xq`=13_^9Rbu)VeB5mf@<-uezPfLa>BT4%+#rVkCF}DRw z-oWU|bnN3bt|{^${LO>r);ZSN$y(Ps)>@}Y+idYHw%M7ib)mu<{U{e{7i%5t+T8hb z&DcHl$(0^K#md~ekhRv_+LoAG7Z37o`3luDVgJ@o-pHAkKcr&HA^xu(Fxy5+3B?*oi=D75PKyR~%wQjHy z?vM3ET{kk6vOte`_POtnwH~vHbF0Srl-X{Kta#E)`T$&8T6Q{_IJcJjhKXzD`Zwzz z@on`6Q|*t;M}zp5iMA~>$lVWrXJ zR0B$z@ISPOFJ{MmV_-}MWNbfN902=jI-Vd63=WC2-{jYzeEk%Td;AJhOS_8*ug-`k z9snUNcFkmuGaFYD<{t{~1x4(j9VmH%bQgCco42r{ln1nOrdfP?sqPii6EuYzpub;- z2An5tJ=ef^opsQtC!DEA=1XJ21rn$Rmqf&F%&p?EEX?R;NzxkbD>G)_2`NwQ?x0ju z-@-|8xU)tKdQHff#EzS>KHeJu5$?;Bq??CWT5IG-)|KX*h$Km>Qy%<#%&o}Z z;upkEv=!iFTn@alrMWgV3QDU@fY0cj5Fv;KRr@|rgovQN zg%oQh$7X=J^(b>|Hb!*j)YHzZdNP-PTXpXGCQ-(?Do zjti_c&3b5ioQo7cynFTek(;su)YS|seJxo7V-ZL3bxL$eIDn9YAdhy*JE-mJpgqbL(Z8(8=l{cbx%%;#_hW#zr2em1;{p zinCV(N~OjYq^`ivB$(4LSy3TL^zwHINr*UPDKDY1oElN1>A~Fx5-Bv&p_BGLx;*^y z!+h1qgcC!o)rbXr5*sS?K9DBiT9VBPO|^YCS7MC0bzZI{zH6;-Y2Jo1pjWVd_3}1&8T}7KsXL#T>q9Y`nfh+d zDAn-0?2CN`0$DP*)-JO(US*{#zq!`3bCXu{|AudNW-ZlTX410bwkmmJXUvoA_z`Hl z?~eTx5j`NYuPABRzJI)BPfrAE{Btw^HG(D@QNUs?3n(VeUJLD~L;?K(HL{lVDj_C} znMj_tsHjV6@Jr2e?OM`cvv8Bqte0cK#SR42wgl=8E3+*jFpE~_81bC~Vn>bXr(Wkb zTac_l>aDDU92VJMd`lM?C5vq9%!RQdVzh6<);*(vtFEzYQPn>CCM=<||II z!U|ny=Fn!dH^C|vGUC2k*3+bSQxM_`C&~Sk(?Enbpt06r&ewwm!_2Q+=?WBGLJnHz z2pOn53E{kfMaIGXAlN>nt)On%8r`DZWx+QfZp5@ZaY2Q>8HlTln@MmH7i+EO)^S5k zd~V&HTi0hRB+aB3eWzbdYC|ks6T%8W;5c4e<6z)^kbRRku6%xG%7#kqdU?d`_BJcw zCl^Ag9_;LOMEI;>XQ_3lw_1Y6)dL!dJ-p9`q_|fBS_!{kt(jZ1IE)%Jw{|w>HMiDG zdg6@BTZ7wceun$m3Bo z7;JrTBECeQuRSv*YfT8MN%s6l8-&TxbjKgbT5k&{-#=})K3E^)qZG_reyYZT3ZvSu zuKHkEy0-H7na#*r_ZK|X?h!KoAr-Y`O1wD;C)E!;!eT#FuKGBb3g5FRQ=f~Z78iFG zPc0uxzlavR*(aw~c#>V2*2#&-VpzoMamkbU)ShFkJ6``eD`r@ky4~*zHu~=@aa5qi z|C2q=BlWy!ZFONlYi%kI;B~xBcXp<74|sJK@$##zHS_&R6v1N<4R>SLo@snst=p=$ zvo1nA=wy#`14u(lF(c-*COkVY4;qZ0tO}$iA_h8&jbM6=7%k^U5R|HdtWDi$ZiKi& z`2ETX9^$fg5E7Z1lVi$j*rjLJMVp^y(n|r~7+q}$!Vv1R96ccmL??5?nc{~Pvv_FX z7ZnoynfExDc{2|)j2xc+fVFOV(~d3g`eR>)(Gk{ZC483bkTYRPkTWz`<*{~!JL?>0 z3&Rp0t)(1TKEZ-3Dj~nlbgIH!_o{SWBa_tQGAg?!^!5FcJ~#!*#RR2ett;gys29|k zTRRX!+6!yFxCHjYz8MM<5rZ%?sXCOZ*mXN2(HycbfziSv`<)eyYSBe=>qdwt!FI^1`cpy{C}KsJPvq_kQBr3wFtqQBdo_YTc&W91_R_g^z`CR; zgFWGP(rdt!0*DTA=^kc@ zOdhTK#NI3g^aZ-$bgpgpy=6|QGkx(dN4V5l`^#6t?--)EEx}rcaPzIUGrs@$OUI(M z4o#Jb=GOjldh7b0JbjDJJ$y9D8y~5AJsz&+)_c`IurIs4jiaAPSbTwntnyvHbn8;N zB5=~rvcs>YlGrr3Z#Tm2eB!v^xi!|B9(p&YqO2XvjEWedXsGqm+bsR;_N;t<1&UbfxDwvH_OV!JCjZ~m2QpcmI6GvTTa$HPpx1f5 zp6@d=pLmVyi+%+{Im*E45uP`+Pf@RKK5EH1mKLbc${JRfTc2i3e(3Q~N%!RM+QK5I z0Yu-#^#kxn$B(tn=Gv15AHEuO#&he2-{q;7!{*GVXFfi>Y9l`m|Kg3gHBkdV$#WB} zgokerfV@6ROV;{J{P>c)l?@!8pFrYK$F+raJ)jQsh5INyhEmQe6UQ%jE*%I2C8F&J z$AaMRZxt?^i-xI^VNk@YoI7yGM!gl$DWLl$MMD94#6QQ=_0%-ix zgdcKXPA0Y#vIvV|4n+~7%6p&6n-NGEbM`!bwA7r$WR;94pTIJE^%YW~&g19} z?7LC_@StCzDo=~NUZdavNQc>|Wsw*{@CLaVrQaJIU1|zSl~k_5!GT&GkWtob3uSr- zXI7NeVHWf$v~SC{pww+dolHWKZ&e82zjJpG5(tUioiKaA5zfU(m_%;{`3TDsp*okN zw6hWreX!k%2y9kruSbr5=s~L+^#;s5`+Q-J^I!0OhkhFFmt$qUsr z{cyLR-SON9uons4}=gvDRWVu=D809egmw+Q^r6fXhBXg+FXsb`$@71P+pE- z(A#Hvl-gGIDgCORQwW4Hv5I&O$1=aT+LzCE1YYJSS_Sq5N9+*vj(bbX42i@ffs*a= zdXQnuncP9W4`g)^vZTqgxFs6hrXg{Go@L6sR-l;*B{Z)*FfHQOtVGWnL}aZFgY^JY z&H&yG-`|KL$k!X@)P1EsA*Ivmdg2#GGZsw9L79klXj$&()k2&6`T@N^n{Nc0E9jVn zq+U8_S8JW2Nz?YzMRB~>sOxl+%s8?#wLqU1!F&4f`boLff41(5q5Us2pe!09%kV|W z(e#n%x+!@Ns;0r*5F~<+fxRywMt22PTig_!0$a0MoyNu?Yp@21r9-0O_rhe00|{68 z$~WA4XPn3yLfBgAmlq`l8Xjb=6Z^d-H#2Yl{8-5R7W;DCV!WEg(n8@;gLw;m+WE8{ zOCM(+h{x!I^A6t!@#^Sxk0@MwupSlOQrqK1-N}~^rpe1n>_5T!PxnLiB}<4Hj=nHn zK1apjh{CDn2RSK$Wy$Q@RARp)VW7im^pEitDwLA%y?rXpKbj3x*38VdbUKLZD5}lD z;(H!;>{s@h_oepW{ZzqI)Uxf{9L21|{_XkGMWZ-ex8v|>*&4fr6hfP%`Rz$r@#zmu zjwmcnJdO&EHyLB^sf>&C`b#)2P_U`%v}qsi!{HZkoc3Fi))4QYrDvw*__Q9Qqep_9>L4dC!qCobv-0}(iI+3SUik|At}%ai z)!}tQ*1t{r8D9Q+a=TAX)DwH`P~!86Yl7ohX)7hglXvk7uuo|NsIsKc1A+Y@WoFjo ziGj0)9~pp!jmd>eqe{xTLCMcjeH;;MoqK%9HAuC-NNg1+B3XJ5#ki>Ouk&;K0{p7d zn1mM+{UfD66n#A}>PRC5l4<}yFa$7_r{f1xwkDl<{h%}#stCf3Ig+Lf6a+t8ASm+g z<#W392CwL#iy-KtY&E*NPmT_-oG@kB%z;D*CoP{#wK$5<;(K6lVA9LbV|wrHm`fQ# z+)&u9+7n=0$cq}XtJ>fP+0U)WZQxH!dhMhb|Iu2v;IBAx|WJpv){Kp_=AJBZeguQ!XfjM`ONk3D%%{NKfYEx@oo-53SlLq)vj6jwA{VG z5qUx{H?Xie`T4|htaTe}?d+*cW&94W6<0OW@CE|ViR9=HEjEqD?dr!8*P|RM@iCn9P2Vq*!ZbhbOUw zAGExIwZ7|H^uGRuSon7Mn9m%!5AoT0_)pY_^nt=X9{8|)$?^X-)dzQ-=%hOSe-3MX zy|o`aMvXa@wd03ymnd#hl&6cV`1$<_%@6qI_GE^TXkxI|nNwhI7@yzUJj@vE?j6=T zean#ZJCnqA@ALLK4f0cOzm?48=bEX_KFVH0tTitz_NGYeTx7@V_f4rGx(*- zB|~@8b)6ZLwRS1P&oNd&V|~L~zmzoUdVvsVPkP=%pU(Hn!xPCl*|pw~xWeDcTG&qZ zhEdZ~d$+YFo3(U;&qcJ%&Sp;z*?sK~!2B0DQM;^J>_K|dtYS+&-ya(U^J*NgprDID zYJO4%OLtN}dHH=u`-XHR) z7tb|#utVUIk*y%-0iwX`!b{&Y>yXaK(-SB~3hW!~i9vOYJRoB$cu9*Mj=Z7>ExW9<3(MUJyc* zPbiKbBLVaL&~*rp#4s&cMWRVZ3VC~jss-71VBlok1fQ9{dTy=b|FKvag!2_2jVB(d zYT}%6N|pH=t2MS_jWHZr=>t9rEz4w+=W(yS%d+r}IqFpw0xer+d}15X?pJLhJ74%_ zAA*XWH+yqdS?jHD9030!O2D~rqm|ld)V0+C+HT`b6Sd zgdF3M*vfalJjkN(ioLWU($d}xY^Epfn3eiVfE z&)2P`63Bj!H)+d~myeLacArkP^`JNSA@3X{Ea6?mm-kV3ei@8{w1#mlw};X2S4OgV`kq$<-d#&u{tyx_)WST~H&6bI0E+nnH2y$R z0&O1qCFd)*CE7>cac_7F3$7qC@be3#9OeO}*?%IX9}g``**-ZGti8iB`|B*oT6^OA z0_SMj%(eHu$zQ@{-$Y#mF)gNmZgR&f$c(m!i}-ml+2#hy*Hq4%i|hxXSMdJ+VpoWF zR5usKvDSPieg9gAf;zA>V#D)?Zha@b_bn6lld0`EK)L(0H}d7NO z%C+Hw_N(Cat{(1INWEU%AYmS1SG$Pqt|Lmi6lDtv3#qLva+gkLd7rWpUmp$_2pm{J z{fF*BSM(equkzNZqeD%oCKl0D0{sAVl~g**Wf1%e^x&Er6)yNMb1=P7Ok|4nvX<*&8q>98hsdIP*1NLpu3$nw@>&HV0UG0 z3&*ZKFvs^{wxtv0LS{FI)pX+e{9jqu!k@hbI>B!^p}{Bd|k$%)|j&4k2)!|Kk#Y2q(1Q$Xr3QhhRmiw%?M#bP%4B? zogBPPu9AnSH$<6`R&9f zc8h%ysoVQN_ybPlFI5cvfVF_c7~6?xVL#4?vo;Trp-wW`Fr#asSe()KsID!AYGz&8 z8yh0Xb+sFHA@mJlK0dLmqAP4Kk9M<|v9wDraZIoGOFA{%384p++AdvV>=LU3Z}F~{+<1zUSpAYe~t9hhPULOyU; zKFR_>Hm|#aoE(=z%$NX(R1gE`V@bt(##}^IL+2UCrVNjRJX#sg0g5@{^aPYwG1AF7 zWh3Cd5DffhlSx6pG)W_Exi$!#(M7}R4^^)4n+ION$WEq~9;6InMlF)BIne-1cm3q< z0McdWyE03Xvq_jO52%$bFODqanzZ_e`$)Wc--yFyJbGUsZ+Cit7`f3UV>cmj-X8U5 z(}xnqwPN4_hJ9JRz-O$4w=(9|&n+T*TyVI^()vXGvW*!C-TdatI9S)(X81uVS6Z)wdY@-t>@0JInTEpf9P$sxg}f0D%pH|3$^g1b;k}` z97tt)lhz1nM_VTY))$(2x+J9fzqaI-ukfXmrRxg+-20NSP|^t(X{k@!?ZjG#rR%(j zA63?#;D}wZEt%E#@}hQomY4tEJi7Syk9tmNT&|Q{e8GIoR>ePkI?3$dR)cqe*IbrL zMwXHQYaQRK2}B=^Xpf0%Yyy;EH;40tg)IoC;V0nZzh-2Vb)$Z=!f#KGGk^r{sM+J? z_Np(Ee?wSYaxO-m#SAugOzjE_FtR^gF2ZjOPo_kD^4c)fu1#5~VeUjNcBe7Lh8OV^ z`TW7PQ}1p6MDeZk7b&|#wQPp@;e*8S3~}GZ8q;FvYIazaw34E(^uSUQY^HLC_-VZ| z8?`Bts~+$Ovx2!McS0)|=BDW0(2Aot;UFxEO)YRR95Cv67b*r6NLN$EYIdD-7Rvn!g|mfh{`fS- zoru?NJ4+p&SUCt;^>|M3>jTwe2?kHfA{x5l@ywE48Iu^BHs49^sq38wEuD~!Z|H>{ zU^&45I1?_9y1c}ID;G0~Pl6pG9SA{=sP;=7VHQu+I$bjzg`Qb!V=H3wqkP6DqjE;* zVo4j&OR6G4PsI0xYgRkauDYv+q|hbxW6MwyFyaXm0OPg9CH)7NYcWy0c=%1eh%-!K zt?3rgC&ZnIb-{NWt{ZUa-Qx)>-H-=6wO36j3akiEpFaatrpDrsfCK@0L!xO)6jWrU zo=}C~hD$zQSMt$eGVzw$k~)#RB&at~8e#%C;EXZz5@k?>-U?N~6Pl*CaP=J=oEN}F z7nwRq0F_zY=JdLe)gH~LK=TT4x@eb~_?o+5t!*Z8Q*O9A*j^@(~RS@Tk=LSIXZW^n8zTHjg|TlN)>{po73zYkk)u9d{Nf=BoV2s zwYm-W8>nh+CAl~UA^G~SWZw_OyynY$Ex0?W$sC~+9wMuQXWtcgWa?$DY{_US6*!Ryn)eXb})v^S(aI56BZ zY_nSUTCj)yl)7~Le$@V~)|Co-W-0UEYWncd+xYPD?tO7=)|+JE{XthIZZ)~Ktooj> z)hyqCgWXsx)3{0O?|WkrUlAHb-b<`C9;qx^YX;ciI+@-_wfl1#vcp=t{P-yf zV~@4QIfX;r=>VWg@q=IG>u*;tuX$gU!6fho%LukO<_^bV!O(_j+PmDIg^^z2X$f zA{JMWklb|~bO#+&?!AHOg%D(oyg0-WOW(^{*D53B2nWqoXIRM72P#q9bQC6M6Lvj4 z;fT(95$GCOM-sXwD*`@{J3!wQU0w$~NmzM#GRsOs{8X0r_njNf$|vloA2y zbO+IWz^5@OWE}LBNz4<^H6Dft=Lawv#nifr?HJPQ(m|uRLVO})^EBv1NCaY{z)>F} z(a9ceFA%&qY>TKrTSaJ*F#2^_b6mvwj3Pxtly&RWpy=7?GB)F?C|OoZ-cGW!9Z55& zqvd&H>js@UFo6n6Ir>4=7Gp`jgEDEt(^5st`#>eVL_P>zkhhP1N$20g%u9kBHzTJ*T5);pJTM=vvG)y zGPe$TZhc~LJjC~UVZSe^!*jgjnl4YAyMCbvH<9RNUguq5mgutCAOaP~a+N!5UwFpJ z8G{di;57kb)>LjB<9C}aFR;SYfADBaD@yw1 zI(*VmhfmFT|I#hCSfcSHH_ffRqjsPC)QkmL>z9Py8y4*a+LwRo9Ytuir^0P-c&E2J zJ`#9q3L`x-mKPXpTNaIsUpzmGxpf3psh=!mFyZC93t(Wa$u-z_rl>KNAv+%6@X@4s zs~pd4ug{jBers4}&BA6rN9+K_t=^Ior0dHWb8A`asbm@zEdDO4MT49rHu+L>##(P> z`(8@p$T$LzNMJvQO5aUP*r2_LcJJ4|zH3{nkSB=o+}NZa;wq=vEq*n^Y-XPirqQ3CkU~yX6hUTrQ)+L*ss!k+I>|W$cMLa^{ zoI`>zYZP#mM!i5`V^hp1J4h?k^|su*MlAJ<#0H_FH(%?fQS`dt?1)Oi5lODPT>@&> z%;VLIE=3#;>~NRFZ{TFvPld)qJ?}`KBDNrLovND?TD8xhZ~-Wx+ty%R$pz`Bs9izo zm?1QCRc*@%Wa)n~(hZ(HH0U#5qX$Ht798c>8-kS}h@1C&gieMru>>?jYCiJ_J%W#j zw8Mwv?7|q*^U`Hn#Z1Y9n=t&E-;bl6kekENEi;^SU;I4TaHHF+WtoN7WOh=)9awyf zzMC091HX9kI^{xfvwDNeIHk&`Gh~OgzJGwnERC!^WOrb#i;;6|smEF$=evsGmemZB zd{d*d%k0bDxpgt6y!ryuiDa?eD$ZS#zs7#L_~O$4l-kaf=D4i&_wDzK0EfV%Lw-W@ ztAI>Z2wvX(A(HNS z3FW7^Q3GCX?ojH4D`@HgrmJ+&vDrBB-!*4hHrI;ZgZ0hDHZ z!=C)_@@%c1_`p}5b>bDKQQ6JppPc1s!;Teuo`{Kw9W2=PqexW^JpM227hw53*t0`i zCcHJ*-tU8rWXaHCE9bqOS)WYMU$#pcRy7hqAD2_lM`-^e<}DCTqi8#GE~2PySL%pf zF6sox5yk1+2b7Phkejf4X&LfuCD7wDblH^rGF8ti5NOA1|BK5!-I7KCk+&9%(9gI; zb<*+kDpVB~_hN;64AotNr>@I9D5+N@jHv6LF(AQ|6R{wvM;);V5{fN1P7}@gco1H z1XSCwWJ!yNKuF}=ph}yvoDknvY?s(eVVLq=p{s(#S~67)aXJGqPnamuEhiQ#-WAjf z=nxvLjS8^#?kUn=pxIdG*M)UGpvW!pjdD^ah5qEkP<2|_H)p0xjwA}>~~eZ*1m zgdUK3S}b%#Q;KWxi`nWfNFW4ziI<15`Hb$pz_*B9^w3m?b^z8D5NYC1OHoT40w5wi}4&QgAVL0STWtsLSZ|JY9vq~v>yaU zyUg_~Ll==@tpizHNqGz^Q=OQ(Zd9Lp;#Sxbo=+j5<{v@mt|^INcF6IFF98Knrsfww z8)xX;!4hWF5V&V62LXY;wQ!WH-0_lH+LEKtD5e7;$T89BhdY7m-(ZSD4k;smr`iy3 zQxNt^v=*^ECOELeH6q98+gDkyPpcbR85u9H*20Q4%AtmXVGvh-DdHEDPq2*Qa*27u z^#htZ)aubG%TKGa{tT_`yko4*u#816;`zWJ>HHR)mQCwK*1Y&URnb%9v!|iLxW5ks zV|s-Js0Rd}&FwGPDg8~p-N#}P!Crfhi+ErMbGZB4aDK5SY)BcYzB-aO7Lnt7TJz`a z;gN5`%1JTG0@OrX)AbkmHOLm~K^rr=P*PMQS|6MNW0ZX|jbZIY`gB6YkLJDEv~2k~ z9llEyb-YPy#qJM6g9ndcLDr~0BIeg(JPQ zW^;>oGk((kz5%2*`@{DoR(`MM?OAHk*EK=pwdOF-MB`Spsny5hoQ>SnD@zG47#GJ5 zUF5XMD+%oc|H8GYeHeKIPdD@8<|nmvU9HU_Y<7^O_-#Hsv&-94U$$`1Ta#ks7jJc0 z^)Tcj!S!4T8;ufPYqoD|#A)3*~lqHoJv}0-WG$is_xXHQqrn`(4*-T-X+{6l2U7O&sCW$z}D^DPHhzH{g|nc%0>2d0K{ynyx~&KG^zcD?rN*EX}b8-MY%AH%`oxwKlqvFfi zfu{870)yQm3oM}!s*pjzeVS!JAup0iOpr-HCI)Nsgi@{BQsy@3w>hw5l~F(+*p_=y zfM&c$H{NA_1&^pgKGLxH+$Ur^LU}HdZ8Hrc^OCpXI<8haa+NkJz{k>M^%}4O17tmS z(@RI{)FM2H7`7vHd>h{ip#+{%X6=mfQNCFcyqCozfZJ?QxB0Ar-y^Wr~st$l(OLQ%RH*19OL z*3P|15V>lw?y%N=c23_e^{C6T(6QE456QU~EYrXp*4lef=?8y$qfK#UgtLzfhsDXe z?fIpJ6stP!Ro1fB8P?j#S})654=~tE^884!Qj4|k8?}4SY_~i-%)6D}s8`my``w}V zP2Wt%fmGJoiPen5+Ojp01`Z5KEK4-rg@d-jm6+HWE~Yg>{MRnXLijMtx4yT z4>l}ov(#!gPi6TzCMNz}`I6l^$8h*Fm82aCw{-=44KfGFc$NLU&rej=x`P&#pcqD2 z7lGE@5Bwo$Qi7&ma-6Opx?(aIY2cWJF2!C!_ulcYbMqX!#DF<#5_g|i5{~FxNGbsX zznD{7)X~ue@CZt+^X*H}LfaAVol|KmL6u$(g;2&9De@JUeo#0`3uI!-$rTkSN9eee z3)Rxh$A26>0UgGL3{pf2+vN~jA`z5yiC<2>-r+z)nksexCJNIL5cEspY?@!9gt@tw zxE<%GLUJ?W2FL>lgb>e2eFRjm;GUr6zpk7b<|)R~m?f)F;bwI$hwS%H`IcJQA}CKGx5 zC)!!>tj5Xghx}!~zq;?Wx`otFGdp{_?|pTvPMtb+>d&cDRkvf1C=6L9pXR~WGj8Ico&}zIwT-yDjWJf<47@tLZ7dM z?u1tX$Ny(`XW`?pS|Z^*NZHoGo=PvnRVfh{dA63#UY0n8rqb5z_VpL@(*+h}TU+si zQSZWdG7+)&_E}#!qpG$j+qyaob3EbHK8aK5c7<~5K}Bt1Tj%|JV?CE`UG5+r+B&D3 zE84a-{S;$?<+gQrfi%$8sr#BmJ;jC~<~Kct%mwrS03=x`}gj z@L5sAwoYg6q%N(id6*%kon&kpJ;jf-^*q|Tb<=eONLy#CQ>+m3-Br}|mH}&EsRp!l zdw&zQptN<;wyi@v4rJurqS}n4`Ltfp3pd%qnMKsr2~ih|^K+XCZ7G1a>j=DvLDe8f z?Qz)F*kCn#I8s^d_7ItP*H&+hF9jJ^GkVdN43<8zF#W_rkx<%uka24RP3Qc{ z6x(_T+ZqeeTh+`5oYOYawl0=En=rMWtk^D#kkEs6RNb3H}u`z;W1e2n8k z$hn0%xmE=dwk)Kw)nc}U9CTZPSzJ+DZ^CgaX|t{BIGkg{lR=MYjk+VQv^8^30ndyp z3@8Q1C2+bay2B-N8Cik9Dl`MQl_v0_6+tqlh@2$C5R2MoLrex)Lcr-%ACLqndhXul zaB5`AL&z)%HqNM&*D#&Owl?2@=QJg78Mx}X={p%0XnWf;Oa#V+lFanP8$5CYgxAjJj&6-IV?Ul!>;G^gl%v-71^)UxaN+b0Q z2h8EiV}b9YP4c1=86F>JYOZ3O$VF@scf!;mHQIMl;f@7qYu-vLHqi@;7<1_BV++9D zhEm$P_Mo*UIzFfR^)?%jQDJE7pF&$Fc4XEi#ab-2Z>8$3Wm{i}J{@N}pUJj%ry{lF zkHQoTU+)l4-+-x%PBadZ3U`LNBPZOS2$_wOmDvE)y>I= zF!HG=H2!8Cguu3)s^nFN99Qg%7Z6}~z%C_k&fkdy*FkaQMa$+6vMuhM31&7LQCl0@ zx_+3Xq^+~EJ5l)DWie`jZC&4w?hBtoXzXuljkUG4@63ctTl?iR;bUK$Gl#b3FwVqe zTNmo33ua69FbIdt1ij<#9Y=b6LV-1U@QK=Vn(E=77H(I_7iE?Y-1Iq%r*^uX7h7@R zvBbjNXU6(|cs+G#;H`Q15lKbcx*N#=!Z6y}4zHiL@GYCmrLEnA9N>9LEoX-{S=rO) zJGS-WBDS^r-L2G*2qAlFAgyOd61%xRKz~S9D1Qogd(G+SQAp`A8r^aTf^HY-+>89! zO5;rdFNZTReerdH7kq06ti_jcyk5AOup_@YbE``|Bgf=!&R<=7O;0xBH|J`atHW-B zi?YY3Y+D`<2eK?Vd}E@S@IWVw_O$dX%EP=6i4m^BXIS`?BH6!8~Rj=L=)%b^T*z_%T7L10!7Lfgdk1{XW(4EZF1 z5|-Z*xFAH#P1oHz8jZ0YBLZ#2#Wce1iqWwtmWmL%jd5w}HF~El99_VZc>JcWUkhf* z6}ZglmT-|w=-AdyJ@N`|tuoK}_mrDm%q7twHV;i@wvwRyxhkNMRy8Hn^XatgBSHi_ zkWz#U-JtR=F6zyk(oiiUP^r$*skhO({1DTIAO#&RS2YYzW`grhGEQ7hW+#JZB0J+f zVz310ul8mtZSFt>ztDn}4Q@X_M#ShuDuizytMgqjJIU+_GTPg*XHSuV+xo&*RaD{UR# z*Po3XGW#tHq2O!T{t~oxAlHD)e`1YC!#&>8YqBi$m!NNU%?1niP{FUs9u-bE@E|xH zTd|juqV*u)OO3a3e!_$$hskMkGbvq|1ZnGPykB9R{I2|jA7rLig8dP4kYW~JyuZ&z zv8{u&b(})%*w)c<&u$YfubPm}zkut8%i!u+bQj6Cg9{m-)x8oG^w^Z^pJR z(5Lh4J@(SpdFV!vOk4ip>8Pze+PW{UTcfT2jQLh9Rf4gtm%iz{%(kvbGc*Zl?^zyg zJ!eg@^;WKX@LXoDgUu5&8+i*-(3w<^o^8&)K?B%#bJ1YL;dG{CcTgt9B}0>9_LDbj zubJ5uzuEIR=YkY7#)s$DCmYCYVXWR~V_VK)_}q=EM4jH~?VIa)sqkA3%icGVrL6~{ zeB(L3IJh4f@9D*i-6Y$ZYCEvj{MI!~((Ek<=8J(R-)uE%dLt%QG9YA9IbrBZ)d_k_ zH;u>O+&)YA9|r4h_0mL{*G`U6MPi)QZltb!Y9_qsy~SD)_sB28`0%Fi24|c0GtU#Q zrgyt$gR{xaZhSRrF)T7V$B?PDJxjv@Z`tA5xNe==djdUDPhZ2%p9$$vpAP#qLoK^{ z(JmbM)bS2URA7L%WZvmlI#6Btc~9vv!0||ftWWESZV}{RhN|d_%&~I@(VRB8asgZ? z{2jVM-DjF8tiY)otpwlkYKOe+$4QK|#TWqh>~N+d<02Q;Onr&NYH(SX4=6^I_^W_z zWHvB=8awrt-UuSJ;lL?`acU5<)Vw)qBV|AK9a7zK<6pp`tVGJDG$oi0aHh;qW-ZPmMDR|& zU<93AMs5Q)b@+-Dff`>WAqhsD=%a^ILd-a26+)St| zw;4`>L|TDZ7le2}&6^kA99)GVt53BfX=Efum5xTYg$h2XH|YZHC1~R2`+IE)yQ6Nd zih~=c7g4oU20u{5$v`e@Y=W5|WVe#NW5|j_RilVA;g_SWp(r>sw5!ju@;*Oi`m}<- zz}fIIXf2{`?!IPgT9s9Wd-8kI7TXVYFtKqBt17J9ySh*Mct2)5C-oPS@D8-K^Xwc(Msq?5_~tDUv3nY2`AO6mmYuAmC8+JUBH@XFDa zBQK_ti)RgFEk0XcWUi*^Tj|sKFm)}?gms%;q^yr)a@WHuK($&pp(#aPw#2`XZJ;(AHKe z4_`dHO{fc-!%wfHi@~;DxV_iH)&bkL|HnOdhd-v$&1yQE<~`7pg*(>S@M+oBv;~yM z#~Rt;^)?^A<8{^u^C~+q8!xJ*ybm=g+d9RzrcUmdLzL?*d7`FCSmaNp%KLiq^chOF zzG*P_u4rd(tXnsc08;U`Pjz?;o&GMVtUt_Wey$~-3(zsU`f`NO1=2j|sOY}MHAKI~ zhKhxqHZ%LHbm!kL+z{#PA1RV>HLw3&Ny`3zofcc&0FnD1J7@oPy2AtGg}t!ZdYgMG zgZI#rw>BjGc0L;W=u8;%Z%l{n>SE4D^s1B*aS77c`6cw?(TkBbLYZ6&ZV_;4N=Jzp zT#U#&Try$OB3VK@g~L2s4dXb_+^)-ZMoDkt+!b2MiJr#m~B zWOSVlc#uk2RkXekcu3`RI7Z+m4c1e$p^`^7TD1)pheWs(gS{@?x&rNP1|;>nE@?AH zO`~Kq9|_$cW*t{N>!c;es!({1^f>+(EU_^kC5VGkseFPQdUA3={;+V{kSLC;81f#6 z)xdyS(hH+^;S`iahi)*hrv;(+QCdnW`p9<`P#O^eg&Ivg97q?)g6BRTL<(?F<{K*2 zL$|vN!rVPoLoBwSKzZYH0=(<-2mf}{tN)Zf^<@z7X^j$*nm#%KyJ080_svJdUbM9wv!1Ha z9Y$;sq|k~2yU1EdES9Ox(eaqCjKor8%p4>YmJWq#wv-KNYoKLtE=)s9T^=C zv*$2Q7$+!y=PX+`L0NcODtCHETU%@0dZ6cJaZjYBJSEc$QuNbkYaL1uwxg{ZvpaZb zYir-Jt(%$&Pj5R;MB2Kw?N?nP+geMxPBdg&E9sg!-+X+KncB5BvFrcHNa;0*7u!1j zUf+8AH4bs;A z*w#^7cLq=|BfY}o%F+rgdD|CR4M6t=&4dT-GMm7*&atg?X2SV=A!RIW{h3=8JvL2E zBxLs1fnsFl42KReKT_G&j+$+caCvO&iD#snpEx`7_UH7oXlr_O2yp^5RpJB)|NgCi zcERBbU$@NLscN13qBY}3BDM}B2yQaW_uYkeV6*tx`0N1h-tPQFihR#ZqY<$z`bnIg z8IRo!`^kD|0rh7)_gd20;mj`CXcGsSvGIEb8TcHMZOydEz?Q7Fbxo^f1`1FP6yx@} ze9`KBPm$*!TN>M%{0X+zT>mlQp9t^%zpr}fmoB_ZYNP`N z4$){~jkoFx<6(OCQ%HS0afxG8wH-27W3X0x!YL=vy8vPg7N%Mwp&1U$S^^;9POKnHbphVXWV7j)^3`Y`!1L1@)Yh88u z$M652{&ZQhzFz4#u9h;S%aTw)JaZE&6(2laq0WucNnc)l2sja-5@0!Gk5rYf5m#l% ziwZFaI}3!%ToikQ+vWqw)N}|a*4Lq8(fW!wyyTD-FBRicA2#XHm5<_ zI-udOtr0dvk_k&_{6+KeJ~#e-W)-llQ$PP|n{PfoY4&wCN1gF&^T8jdRk`Yabj8}a zf4*^rA%<;vo1JxQ zpZw1Q=44xk_oo)NtwD}k%rg@nR?LKlYL{6>$$ib|?vwX3LOOHYY2A7|+In>H-1$H_ zQlM^l<~=S*^W<+Ashl6q9X`e6q_INu6Kd`pC@bK~pZn}Z(;xe`-W%*d^7+dV-;1-x zM=7ktwziEsa+_y+Ud|lGB0~zMRQ&KAI^l9lsycoeFjUX-sI9+4?Yo2bp&r>WhUs#} zq^oAaF~*k9gxeD}=OS4$RSuwopGlIezKN;QJMl(aXH6{ux8ayK$52&mSlld7uRUz8 zp*Gl+`9y}_3V7Au)GzweFRo{+bqAd7N;1=MIq9FzY~e8*X=zM4zTU=Jnm9J#Z0^An zY8wJ|@eSrX5m)`C9!|Eto3!izEFAtN8-Z!JW_4rK z<92t3TfFlap@=i#@1T9qV@03p-tJsuJJwCUEt52sgTLm_u6qCPe)GI`90hsZ)cHdY z#%M+S>Hy}{QzyxI?7o7uwIIM;H;5>ULJr(!pa&`JbZ&CUGj`QZj4HLfQjYZh~gKpYnF>tbcjO`@eqPN0n3?mPXYM59uZP)k+LB z<_2`b60%oF(5)+kzF1ZrJTDraOGB#=L7n(Bx*NO0J&3%9Uqic@)4iQz^^zurPJ@jCS63-|V!0R@}rGQca z-Jr#Ki)9e;!few-7xExqzK2{1Qs;6sg|g03Y;sgUKh1P%TZK393n_6kA5%sm4Dp@V zNwbg=>Y-|>YgY~2FNc?2Wwmq9`j@3s- z#+kNTfu&_@2&fYfs>3xv)d3XI2h<=&SAw?}Keq2Y_FH$nn@BRr&xGaxRGVq&;jNhS zNaRShu1NsaJ!}$C@zaTU>fcuzJwXtkOEd?eIijrS42?n@D=Rt<#3?iSy4SM8J~iq8 zzK~-tz4Wo?lb1gBa(S#muU$H;V5|(%Le~E$P}`z9P?&%!o0qFsGjS?{|7L(h>lxO* zS48xaJkE8zd~{IXIf1j|cRH>4IBs-FuCYdmYh~f|!6~b=;4@sP`s(F!Pavok?zyTI zl+=<>kJ38sKim*V-|v=HWUFSF2Wz#9~%XAiLrb3xR^6 zosM`*N(IS-K8yhYDJ}8W&Puz1>#1_mU7^3NF@x2Z$Xg~uP#?g47GgUuF{0TA*%XxB zg-?Qyi{xvhGGQkGq4pdNRJ1yvb2)r`2-Kl=E(0zPDX|F8g`T0#AV`Ak2CfdxI>{h+ zCuGf8tE#TcJO^a-r#^?{sfEDyoGc4PGG5Iah%KdJTjuF^6APLlV}8i{2SY1705>C741tx;a^m4Ro%eeA zo_!_lWzkROOss|77%uB&<%)q$c@qhc6+UJgD)UOl6C7K(m7<-rYX zu>pgQeOpN(&T~Ye8-;{KL_kCDpgeSlIGSt}YPxufjI+s;{eaAA zneoy1LeRKnyD+pU-oZO+HqK!ZHm=uG^>T^|sT)iLRtW8yGc0SqH*eXSd-!zCekRB$ zjJR~kd}$HjuV*KFMwM*1=yQ9$PtAFxr^OTc!3w~cka#o*nw%+ zv$%u!M{Rb~^cr4EJ&-3a(;yyxRnL~HF3$RcX=&dWO&edWXS?4umZY_FVW9wvqaAbB z;EFGd(}6snWlRohg}o~j*8FTXvhanoJRj@HY^SI8&au0BcYwcvS32fP^JM(MbZ+Z6 zP9e%7*>!t+LP4|-spr0c{_wy;D-E<|LI0k;?3O-yV_eXXK46<^=x-gfm-ikSiWOL6{7XV zf{j)WXuc|^_Ao}(0-vhQHyjR?gop7{0ErwS$ek>hIvG5d!djBoLSm0nDYV;XS&Y*t zYf}utPUc=1bYbp8Jd#=BO}$iuD_U}$bx*}HcqBPWf^2-eAE%cDiJb@A=afYPCdD8D zR*rF#Pwe~UKCIgcph5)xl-Z<~Oqu*i zVUy~40(hP^tZs9lP{+9(`jw?O?~$L=lM-sm0Ss}?bER3*WF|~rt3%>0V=@#{T$W`@ z>{_uc@U{@m8u56P#!5IzvsNyMnk`<4v|UUZVi(7F{Y(M`dn@TIC}~Q?cc1@v zv0%up4P>JTb$iN^LLd7Af6vUVhhzG!ri76E84I<@+S_(SOEms7(=n^Owz<*gsNmhn zky)$pIruuq|7#&21L+ND>yp{W@Ji`EX=|azmt+cj0?w5H(Ifb{C0}yc*4CDxHoYHW z@P(dICVPX=>|d{2OdlRJzVZ!A($=R1PV#Qp&~QlE%=2?T*uSM5-56QiOrF}~ix6%F zjbvT3CbCF?aS?lOLOK0v%cQOOeodoQ@=6BUdN^A|T+{^uGIXCw_eE_OQPI}6h|hf@ zwE|AktV?NY(Tv*qfy@sag`g+e%?+7t62wPxGNn(!8k^#}3BNT`z(bhwCxGYq#Abc@4l2MmICerKkS0Tu zk&CI0Loa6R^edW7rcaQFpM0LEmtHGLcZCUSQd?}@Sxj+#bUr({pE`8r@3{KzMdZOo zBdu=2UuMbrjh23`p7>pNED>dqexW!}{52j2sK;SV71FmSndJPpsvHk^XNL#VeD;1M z?7xCGIIuPA8Tn9A1nLPjW+j}YS-UW9Purf}Ja1jw+lSf=Hq)QY6c5oq8XJ;ncy-#! z+?K#Gcs*mxmUactCzueXary>+ zvls}SIU3Jp1P1Lro;@C;tE-v_8e_P5Bje6R-revm2DAxG@){S>RRw3bJeRT(>yOie zK$+6+F`-IN2`w8Inr#@|pdZnod`r)7JeX(+6_3*ciKfL>h_2(Gt0Fw%@~6{s-s@TQ zx;=}g$5nuGp<+hn6=^OIIaA(n;DqBvvJ$3wkVY zOiLV(ay{0|E#qI4=!KpFPmX$f_BmVNkA+1E5v~ttxfGK0pO`x( zfn6X~{?OHYcxDHvlR{@%c>-T|9W|zWfqFGyMGPVmWPe6Z1_hr*$R^Rn0ig!a0iKQJ%r~IV|zl*lc zpc!rJ{NDR*cpsmA@?im%{>4rnypkdT@^evBiv2>s9hF3+S=Q_7X9j`|#}{bpJlfVb9?!K`V;KCuf|qUWv8`?FSW=FDBk@^M+17iot<#;` z8S)%3bi$!)W6<^G-ty!4dIbvvQQqqBB zBlk{*Bhk4p=re za)rM*oSC#LGOOQtxg2Yd9Z>zPYn>DB$n_kfSA22-XJY?(yPrIKmcJ%2eo_-qL3D?5 zeW1Erk~x-TrY3)hO4nRxPc~lRXe4u4c6e~yh5KECZQZ9cG+fy6rX`{pX{#GlXAc8N zK$Qn!FDASU$J`~84OM{Tk{?lttMn>raqgAha{uo*e@ZTKx1Ay zbzqA|0)v5oD%&2PsMs~<@RWR41u`?!Mj*B0vYL>mPsEWjJEkuDc&OZ`1m6w`yupGq z9hKqephkeRbCQa`7Ee)It7ErWuBDuV!2kqf1BvyB1C63Wl~I*OV+uvspL%~{k5hC-%Sp^)a6?GC34uu(Ye9U9BV2Ygph9-k4hZkuV1gUcC3eck|1PT&a ziWrfC=3{(=hq=^if?2z#D16d%7stJ01RbZ|M`tr9pP-xMFw^VQotdj%NOl^M_8oC( znw!|9<1yO^w9y~xzAE@ggKfx`F(cS?OUURBIH)<^*kE@=Tlma`-=t1%bs(D|i745$ zN20jzLh0?*r=r}uST|*OVo8AGXQ|81nV&+JC7=RXOH# zilS=;1<1C3JGw82w;yt@jBLfTC@3s$^Y)M8$Oau(M@D=TX1K5 z!GZwA&v}XgZB2tsAUzGvT(tP}Yx%vY; zsN+XHgW7wjIR};w;sf#25S+17_8Z9rYSYEcvMYw+2{CF5yh41IP5sy--w#t157t5< z&Q=9Y-NkD96|DICK00nq-;+3L>pK?B_F!9QJNJ?mY-@*Y9nYt{{q}Y(gE9wCRP=if zWI=4`bMSucp6h&XE3|mpo*bYq9^RZxhx-Sbvwlt5vIAq5jC_h0&+adppsWtN)jLtJ z2@?tZt?#P`0yK_&P+9 z+Ng0r#$|^GM=ji+C+Qv2IpoLQlFYbsIq4$sytPMb29MqTLW zzN*hwEmbe@_GOe#?j&=bkh(%U8TFZswdj3pc5?hYekN&*Dq)Fz%y(Wc^ui-pO?C zARYf*V#iv{v%y5pe4ByjX1MurN_-=!Xx%Rk{wDbi$D?<5%`iXh*egqE3YlDI6DV+q zM^H8b268dbsyw>h0~mgd(BO;Hjf+NVW$b}-xK%zaB}7RE-)Xq2x3yygg;$to!h1JP ziqX3UOUc1DF^g%BJVpRt4Wl7-w6#N9>zp0y$LD%GE-XbqDdb09TP)eunO%^gIf27z z7!E2bgWJLdyC5qhW;k9Qe9XaFq&Pi=+U!|EDk*jUNM<`TO zaEZ1aD)9_x)|m^TSL1AD0vx)}qnm?f!b1<%_F%`hHV1wem1`J%f&F|c*Y|v5wwR!5?0<=2?op0Q!crY^2gW=v$xvu7P@x_J z7i}2JED}294LZ%2UK{keKGdeGj^DTxIe%B*hD{tZ16AAf{7yc7MP@L)I#45Gvku=l zSLMd&>%&Ou?9|>TI^Z ze3eU>B7evZ^DXaiX66%GQLh~+TD@rNvso9OP(iH<4MM}~DbPK?(dcbm8>rxej1SzYa%z6nNNOzFD)NmM7hez)tH<#zKN$6HSVo_1*TwQ@g<{5ifT)3m% zc;n&0OCXhKYdKG_5b&d$5&5bXu0nQ7VLot6#F3(>lVV3OD+g3vQ%|Zl8ej^R=#sOo zPAP$UFYhusPpB6Ii7fk>iP#4UeUdBAgx>@zW_YF8yH-YG0VBcdM>{r=aoeqJ)hUV?hrw%W~)dnjpt& zz*I8yV57}_Y#@!EBj_IhWs{GmVag41xZNx{%BwRpqOuUe5{zREEq0!KFI6U!p{d0L zh;xctc+7&N=w-e$WU+ePEI10M6KmDq_?eiL_M%nfs(#R&`63p26;o=Qx+tTf8$e?T z#9#*yf>#*UHRbD)AdZ>*M?_xEC~YAh8&kNSK`9q@WD;prGvTNP>hWmNin^IqVC~tu zNi*T&_{^iNoySMBR;xoHLFGk*neaFbm^Wwe*g`M#(0D$Q&_T+dOux?%M!6!gtbx7!SlJn4XbSavWPI}n4fA9wSnsM|*QOv9V zQoYH@zRUm8%GK!+s^%NYSI5?p>6`TNAn1E<&YXv)cA1G|Yr)@=w${3J1ke5RyYm1$ zsNg)$Zhrmz@c#dbAit61eL>oqL$dgueb~9zCFtg)&Mvbf=JR*Sr&1B*YW2lZZ2Sc({4^Ksz>T_E?+@s8fpmK{t zlm5lb7Pl~(7+A-?v%OBE38q=1Z9QqtI~?Q!BID;8AB!vRJuqyS-HAte*I?cDTw*le zZykPB!S*wn2z$1qo4;VJTL%<-D;?RGGB-Z@Jz}g5F0njZL)P}Z*Roa*N>O8jO^|Ry zX@eZnE?p#%4jKpKj$~7-6I3V_+)X2#BUB`{$oM|e)FACuAFjW8sAY#Au-=*;jam8*ucewRbKqkHgs|TM4?k<*Pyd^wNbD1IsE+-!u$g2aHqH2XDBv-vt z???=1EZ4aP&001tQv;_5;?%iW{Q&XD!?PZ$>L=b-fLs35%fqflx)^T8-96W_VAK!+iO+Eb5y8RU1R1YY0G@);3pGSq9eCkk z!4{?NGRQ!H?TN>W8oD-J zf|Co?XBasgpXEupD|oo5Iuf+t)NynUv(Ur5ZW)@5u-Z;#-csY#6=3pyZCh_ zx6^`@CHctyWCvXg;*KBi4G#Wbc|rx1c)SC_6(At$nv-pPOlLsp)W~hv)}UMO9k&(w zo_(AN*Shtl&Yp1I+^=lw4w>V>PrmIJe&vhlBK_)dIC_?k+B#(>JeH)+R_)!sp*+t^ z%xPnIg5i_zqtnxRI zxgAOarEJ=FqIpyTtz$uLZ>bq@X=z8QQu+?bz1CUXho>$g9tOf6qtnRspvm zeCnUE{-HT0ZLKk6SeSy1?{JN_sQiJY2Zl{w{XaTlF^#ptpYXvBF7PXPD)d z-9v}Q>~avYPxpmFXN##kZR&yqZB70LwxnolP9Hyj z=-1ztF#*59ZoebW=cbYFK+Z(g1q`D2bD&vrUnTmDXVwjFRjJDjA< zE5nVyCwF#W&U!~aByC;nTUMlzp0&nDe&;WLYv`TJIS=O`;XP-VZ+)K%IL?=?P!g}G z)BR_23?qQXfM>M9TvYum|7b;MwL4uvgxe^aa$T&n)Ene=Y#_TSel+ngueXHzJ+`&6 zV6_2R?BKQGHqi6WQG%6i4M!2^9kDdCz|YtWH=0YOsbe(8aaM9wvl2nB<*@MR=byR! z?>>LoazA4lj_1hh96K*WFFx#@^~jU;GCU4)(V*3Bb^{*~kP>YJ2#V4qcZ*_hk;^M9 z8@V>)6Ql@Bt|pg2p%fk0gAv40Q@1{?XpnDA<1bWC=U2(Wi=fD_fadTI|9)^Vfv&!MgDE@r|9=T$^g z+5tYUJzJXhzOk67g<*V3+18pHub+^pS^bBfz5T;C_MhKLf&BC`1HWWjvx*5fzleeH zQ067Ll=0K>QL30%qd1h5g*zVil&-8?mpUvC(x(Q6ORR;qZoN!f`*15HR%mOUrLAS7 zbvAnEK^TuSCq5TkX=kakI}pkRQqj&e zeT1R-(nV9omzrGjX#{d*>T2NaKVVtl(8rPdZ*q#KJB%FsFXt6m_PSgq&%J=mQNbzNI>ok*2qV9r$mq5KwDsV|1GK$Q?8pMB zY^|(u2pigP?TIY+qiy~0jsCJay{{&>1hf^K-4fuZNBw1YDEztf)4#xX zz>6!vzLRS|A6h?hUufFZS<-uWM?Nxp=C!=RHNsAu1E}sD>cCy>SK+Os(nW1erX+(gZi@NoZupPBniQ$dkjzt58XiAd)S)!L=Bd0`oR1Bv7N5 z=U2l9@P(06k!>26-_BE{Ce#^)ZCmn@qXRGXyz}4u)@T09D>~1lD5uwrneYG)N#Hqi z6|0s8Pf*1mY35428#v0Uo~1SV7iTrJb&Qad)ek9%W6x6xH4PF%h(Vp3q}A#2LJ$2>Fo_=G4&c^!xFu^<2R#^2C%L#3?<4LKBtnoe3>;1(uvd{jwfs*0*H9Z7k`j2uI()I*Kblx$ey2zE#+nxaO0bTI4$ zsymp#PCsRiXK+*zaG)aa@f{z$PXu1|SpS-@fA9GF*6&L+(oB3xW>N?&Qj-Gv=&Wt4 zd6j&>S02(V*rFq-8!&vIz&!Rzrl>wTdIOX!8BgUFl+~#cO)XmY zgdW=2d3J=qC@$32*5v`EUf6LRpBz+tPK3rz{*F-CG17`fw z%HJGW@xJx{@U#~H$52$+7j|44MOqajjpe@)JoC)o|Bo-*#-E ze$@TbY1ZJ<#ZsH=ei_rJWvi+FQ`cz>;v%RSWG=AqKqC+jzyw#2g9L=`I;cxN3S0p+ z>!)rxw)_|cx|RkgVUnBrhNkd)+O*^z<>CMXz9mL8rE3ZDTn+p#T1#C`au$j=%zNp= z)ZXM7ZxJsjZq)(dNVwEdc+ZVso^_Z-;3;5LvX;SknAy_4+@@zD21TBQ)G^mK`0m7u zp7UcFrqe|VX4uvu?nU$nN%!mn~2v1_YgkEDfC5Q$rbHq5eR6f!B zlsA{cY+-l#U?Zu^0xP4{raU*_8;UW<6k?LNc}%ZS@{*5Afx)98IXpt-zRL21IW&3rcag0K!P`u}Np#5OUjC|?nlts!p z8>1K^O!&5AwI3(pkrAo}6x!xh6sVVQ2|*;W)&ylXa~wD|u|%*gUKq@seF(2+joOEG zpikYlpmrCWr3z?aiu689O&<3QyN!6ni0&<1bzPa4DbbUeh|13b*E3l8E4AIh$; z$ozGja46|J4g#j@&BjqTOa;v=Gu`1>u=FSsQq3k5@1zaoy|&F?M?@J<;&}NHg$@hR z!&Zcn{10azP2-nBm1JkxOl*3x9?%RbhV>G=OnuIv4^eyxA<3i4>$xP2n) zdGy*od*IwLEsuq_uOr}bYxzwS&8^oViR*guzJ&`}g8U{$cUZs?J-u7jLh~nFEVFu%B=q}4RB5bnzcXS7zO*ig!6vi zRM_3OIht^0@w^LrE*OdMWUafO4L-fHCk=G;?j(K1iHY!hjfSpT7d@uty9UQk(Zru4 za%%$*=aa>wh}OT6hpSUwPur-JmIGhpS^kJS1cuxcnXml4wdAH093FXkPG<*16};^3 zY1U!^nV$%83lCjSfhprdL%}3V7nc$&Sre%2EtJ6)T8^Ys!V#RKTvQUCD{!5JK{abqsVlS%lqM&a8oex_ z?OF>^ZIw_T<(Nncs_8ir2O(sRb^OJX)RH!#&QFalr#%_!>X@mi(d)O zuF+2BEotb#9r1*Fl1J{oCd<)6E5XyOwN+xNz{Jr5q&L$w5Bn_1 z-y+NW1W>d7rxn^dL~ZTa7Hm&%vHhHgZ{<7EP~3KgIh(b2i@;A)CVUqFg?e7-TGHU> z{%gB!tn9tsH)=z(^oh*$-};JCn}*|)YHPRju^wWx6^OI?&SHzm8Q!LDXS{OL28I#m z_ehq{fRn?L%H3gH$kK)&%x*A?!Lt#*J3U!*C%ElrcOIZ z0lm*U{r}DKm5{Rg;{tv5siMn3c2SIOdQw3YH7!D5F^{hY^@x3I4=py%gM9a257K z>~!Mf>d5fZ|CQe5`{?gT6|sla7Z!becMu4m`8W z-SK<*Z-zmc6R~O2Y0S004pLS*r<)>AvqX|?r^j%l32k;M4IEPL`$QHDx_-wzCQc}p zRYwZGI0J<6-w%<5SNuo0#72ZUc!LWs+2awX^Qz>k@KfBOR!fg4hB6DptaG3sPR)np ziGyY%u{lmEEBd_!6`j;grnHPc6A}X749paGo|^dDb(T(m6cW%JeBz(yr2!3a# znmDePH0M9vtOO_@OhnLUlkotCbP@=h zssP7IfCcf2k-87ob0?`CGTYazQFAJ7ZON?t!Q2dtd?v|<58uat1mlzshSIEWA4M!* z;V7-|34miXTNTPxA=b;H<1!kKV4p7>_T#?QM>hol{tWD(~w z>gS(i$_{%z&d{_22t``&J&_RyZU$hABDp+ z+S;nU#TPP4yd&^laZj^&;4*r*z6rFo8{7Bx(DIrI;Ip^hzQ<6#PuluU26nfd)2=Yk zI3;M%`ibkj@oBvv+d2%mMPBChk%z#D5sr()U$-=D{6sK!D4FP5e>_VTH8}dXR$)u% z$Fn}hze}_Ii)m?VeD;vTOSO%A2gzbN^C4Wxs)8~&28f9-n%Em*M>=2Qz1_v~L2IVw z^eGB!ax9kLLbE=m7--l6Z5=;^o9#`sqTdxlFPooi5yL;+=49Ym;UA-B3S1?(?&N(b8$chw)JF+wjL_{8yADl(6EswgxLY#QlCOISAW$R zP!(C??E!hfqKO;$P947~`CQ~zeSKxs^3WBs2QRl`z(IzIEzxT43Fd)B^6mI&lY|F@ z;218~DPj3W(AYy2p1j8*m=V`<1uj$hIys;jf93XE0kjrq#of50{&4uNaXRTqJXNI; zaE}sUY(GAbI9>3|5XX+QQn={PS2Cjm84LVjQVG$y+D%!3IUs&nV=I#0qTdMZe9${2!#0 zKVyNZ^9VN~(Sh`#t%1T0G{qHLp?mppSR-Ku|6|2$ZSkJjxGyQ%*6Ho6FYuzpBeU0> z6IoX5Q-W8>rHJ`3OOCB`oF0+#b++B^bbh27?DnFq`?D8iTThakh_cF!_1~sSZag0t zV#biha)c@x-jvoES}obut>xM}vL8uPx8g>l>~&dS2WqaFaHpAYNEYdSW;KR_HLqc> zC+f=fzTFagVH5JWrLSc_{50JW3hXq7W|ka1FwNXkScR_}-b$E*oH`UZbp+Y3phx+(o+X@-#=l zWSLFeH{5~ark=%e2rX!R7QQ;p$l)#29Ciz3XzRv?%h%c^3pZPQlQzM&J}tN}bMH$!l{~1nArk z27~>On*G&!5@xWiecQHXfUwTSp4dZ@6@;hiF1o7M)pm@g!@Rev_hhx9(hgA=C0o#B zNk?s+9m>+izTR{UA29HGKCNiUF>%y*pTSnIFS{tSo?fk(sJGy{f_{MpwT|G9;Y1c`I ztiwU>mZVTwk{RW&5bQj8XSUs^%%4>;7=rVMVTKZzrMul*d9QN!F-*#+n8%rrTDw!^ ziPwY$fdn;Xp*IEQ;;=!kQ$g&MU{!nw=(`T#Q`%FI(aM*AipBIT z+;j!Sjv5s9Aofb)lq}`qPMmT)%O&A@ha-j2n4ZD~EN^335!%Mk@~?{++#Vw!a7i@2 zkEjvAjNo){^Bz4;erqmdS>jsv5;{xNaVNAT#*!+F9usz;9T0jZAHQXv?uSG8Q+K9YmtR9px94-&{VY0km1b(LILf;70V! zO~RVzA%jO3#fz|Gql#$-g5q%hPUX39t!9mHc+>0W&6=ZB;{2IDQm-w%&JJZy6CKAx zL;U^+8}5Ca$8O+#c6O=^ZsKD~2+LOyeCTJE!;(B^J3(956@XldDDw*I)c4H32q*7D zH?)3gG)XxFrqRNf^SwmDwhk?I7|(LGZEMSJ6ETl45XuB!deeD~F-^2}CT;Ea_JjsF zwLkUoBlXFj_a`p@AU;HCY<@g(56=E(ZoA%ld;y%WlS82F`hLwOrmye8hGmi!?179QOo*!J%Xj{3bDtoi6GiMnSniQj%uR-~=0P*>Ncg! z%1Ew0trsF;cQy4%>(;8f{`QF^yXo4bj?G#o3zl9b?6+9<%#(B8*1nz*rRvCimnLO% z8w*UovNi0FR93rb&Mtl~@XetN&y7r!2yWyu65GdE3W-myC-&h(6fyx#hDxUa;$o_i z_Hq96gWwc(o6**}U6S~biT%;4bj^BCGo){u&4=HYhB=|F3TNA!@`pDE+x=FXQk4_oz|~Zu zg^ML_>9g`WU1$igzt36gwUGK^unZ=Xa=n|d=QBUa0T~TDeo6^%97>?g~%62Og{;CjMq^T}yl#7~dO^=Ly8a%?toCKcWEAY_P z&; zbGR!XUMcV{p6bClADqbbRnHk3S=z=|9OX_lnsO@_90gz1bvEZ{YN25<>(bWsbe#%p z++2c^?s*sTOAo|otKGbK`E==p|n+P(rWPRY)ZS#5JY|p#X!Mz z0mThdwAJ0c3~m1ij^5sz=`_z2j%Re{E-S#XTMLJHC=5>98f@2%zXRvR(!eWtT3*$CEb34(QrHvKoX+>K@ z0l#6Z63a8RwbQ7gBslQ9Iv{adc#3BIZ&JFMpP#b&x2KG6KiJqd#`Mc)!W%QUQM71l zf9|{EybEbkTggEX5!lIRZqK*fcvBAt|GX&X2BrIqp@}OQUob`Q?DHu0`I*hWn0e1W z<_89r`n%P*Tp0fVXR7e_(@pn2SJ*a{^<|{GK(eZg%Iv{IMRFG+*HFpx(*?3AS3C29 zG%X%=X?W+FG#MCMF!$NalE0#NW8K=Yt*2SHrq|k$`bB;F;fbx98>Bm;e<|yMxu9wn z3)-6020qL17+abS$eu&blmj+qIzgkn5;^s657%FLZDO;xBAe&()}}7}5rt~}a9>i} zy@z`FY*3y<;HDna^;Xea_G~h*)I`1K+aY6hgpbHR9BE!-sJTh!ySHykhE29M-19&kX z`cadLu$_A#8AZwh52mtkh``NP)3gLcHl&uF5aOe-3|1WtqZ<^3utg-aQT;SRPVxD2 z+LJguF+*bIOFdP9uDvS+h^gpXA~nYsALZK3U8^P#o%c8ar9USr3{}UmAO+6E#q%5+3;I0` z@Ju8_C19iyBXty#h~p04kJ-2?Qo$nqZ1D7!<0;<9sZPgj2I@%4)UFT4cvCzzYWXpK zpkba%FUQfW2kc?$ye~iv=&XtC8NyZv7nNH&1zp6QmfQp)47m79f;!$hWb#4+CxHLU zkNx=XxBv2MR(eZYo0zLe>1l!s@QJT{8^|T3LDbm@PzjvyYAFqem2W~{wY9b0$_<_Z zz0R8K56`mlzL1CK&4s!3XnU3hTMe2>IAEpPR~IOrl(tS8`p>rb&<#z(B`w(Lfjz#4 zquAGDTklSuJgeB=V#mPC=pB092R`#RkKOdHWdT`elF2WxWo&n;CD}o0dz=-yj#`Vh z_Gs%MLuW18+M}(5-BvYTb1)8u$r91Q6S=f?w5^X2Z@lo+^jm0aOSeE>JHYKn>wR9o zI^#QiHGAM*1{Ntya_A(qzpCyxj0ri9R)cHLacbBp|Y;i|s3jgjGJGR84cjk|W>8d&GFhis(gcSqfam)RwZRi3Wn;4F>imEXsZy)~U?qCBJ?&n^&( zhX;#;yZ5Bo{If$3MC9h?d9P&YVWYyXJ!^WTW^L}PI@kErz8!n_8Snqx4bQ)=LTP*6 z+VA%5zh%g3j85_B+aT^@JqJ@MODleFD zZ0E48)nn(LdAUMrb6(9vh%S%^qBAgQ;wJCYIw*O4$A<>+=UdRBjNi=MVA1CGK zM4C@FZ~Dr|p8M6e3bhR}8tyqRRwn6uZ^ZQ{T+%<@<9J9o@EAl-y-DCXg4bQ4%F2GO zg#0@Pf-fHN1bF-^AYekSROoWiK4*Qvu{!X{5fvxx&F|oMMptp;5;y6#Tkf#6@ zmj-@=QkuXYjW@R)j1YJ^8^6d4zm=Ya!*m|8q(T?L$-2T;gFxy@h+1Qod?G7+&SoZo zAG6Vzg7eibGn;0W7Zy)|@K^7?^)vr^*WL8HOQ4RKheYh8(U+4k^y|gDxj0qf9Q;q= z#q4QX60!tzg1A-Z4|Anb{PGC+^s+GcUb!V5-YL_zwey?^%j9}j%I>w85D%vY>|2n0 zY9$*AZ6k=DD>&wv*%48VefePvEkNNh+LvoN_@7+<%->!2!T%(_Iv}?bUlj*#bfS}c z$i})9QV@o#(u(gmfL?)YYZP$GB1?}w+C*F17Ot=+%-iT3+BzR$CY+N6a)-9IS-t_0 z1nq6jo3~h~}!_}Dym$t68qtBzQXLv~5 zvC%@WWkuVXvlw&+m~3m-t=E;<)@(T9A^8#N;4vm{htSsfZ=C5>G~J-CGravY+B&|d z_33X~_RN{tdLv09dzj@sN@la`qMrkQa6Gd)E-D%$UXN_!@} zq0^+Zt@pHvl5EK!qyI=^399Ju+zyV28Q74yxK2$YdyZOsj68=mL&N#g+L5~F=WS!X z2laL9^=!Q?g8K1paP2T(0qxzrHMzC-+1&LkFs}2PN7bx7OfL{UvuCru*i|udOnrI+ zMfdRIANc9#Heawz!il~3>x;Bs49xG+HRMgYjr9}`vjOpd3_E`h+Pa=`#>c28Lv(o8 z3}FbSBCa$V^d`^%Bxusl0>#eniZ-ThotZ{h6#;lfD9gK5d7cCyUacjG>n68MR8@`V zp?4|2ULlb4O|Aho_!V%+p)bDf`aK_H?6?FVN7fXv7*Fj!%B_MK?7$!=DCXo)2uuq? zyl>-9ubn_5FBf@;eX@&T5{&G=?4TY4L~sP(;z0z%?{QP_+zk#>9&wNq5n}`lA=eW1 z#CAHd1Y@2@?^lW$uLz&}(m(h2a5UW#gn|a5+)O2DGaiOB?w11LZ9fm=T+VSD1yRG8 zyU%me?ZEpi**Hztl?tAOj(^cu& zz9p4S$sjmYDv7dj$g^b=P`uz&xonq>NS@UW5g*AsDMAQBGVa7M&U6x=DwRdqap=Nv zG9m%;B-AWJNLr+w?sQfr{e*1rB7!A|R}bk=3|8wsvl|Jgd zT9fWwb?!YM`|PvNJ|Fvh-Sc25j^rV25~{Pr!WgM2r>#XEcVR{yj}r3W;2@)IL#MDQ z3;fxLS7ZV_67iD%FcKlU7uTFeii5|HVTp*=3pR_QuHPt1ZnvVDSoTrI69tTT@d;sl z{5Og93UK!3j}N_kU5T#H50EQe`#cg73aEe(gU~#9^9tRJ(O3@@=ENOQuW^7*HMxT7 zf{YC;C+RYaPSQ#2TK-}aT2^7}c*`3pYy#@GEV>&Y#=RH#1kJ|!z(aI1<-Hs;j5b?o z7zkUqTV>fgmHMoEyj6{KVTS^)fbYHKky{$}(xWQ@R6(C~HgQSOfudAF_v_9=Ft1Nc<1BsDb<=A+?uue7E>VlDRB;B&XW8QeCIYF7`6Kt2nRd zZm)uKHLn}w$rnl8Fup=n*GBQHEnW)#Go4X|9#H8Hhm>3K2(NxVG_#Xp_YA2|hz~ z#wCen;^WNJd3;b{j_e0GifStM^>CEcQ}5!~IHZJv*%WJ=Y12!LTl<1jRf0de2a#f5 z@XaL91LK=3`#{F8;zde{!*w})@8y>VzRPEim-%N*5Q!8-e!-H&DbMI)5XxG0$${=MorIni?)g-l^cFNL?F(>~ z{#B|kZ_RU3HbS1Lx>8*P@t87i)%xaDMm?mvOzA3j7a^$T@_~Ql69Yr($Q(4`ooNz+ z_0f}VKCJM%3y#7DWNveo9wNLkAjQpM5xQw9GySxl&k1n)^kkCH7e+e`?r{7dQX{*ta+C5RCjN?*aO8+Zkb-?YA8zwIAB|@mj;!B z{{m(&wjI0@ZbKq+1{XPhQ;FCvEC}(b@H_D2q!; z(yU+Ri{?w3bwgiN{aaSnKlWXk?}OXTer_im@3Ofk_6<_=Ie0ZDD#{9np#9xyA{7+% zcVz*4Ja^j|-D!tUGXt~bgc1npa-E~wXR`Jrs{z-#`pl$pm&U%qT{GF_Er-CWCyoUA zL6LSo=9<|dm)^hc7PmLdx~AQ*i;8XbEVWS4o6S9$T79#2!$9KYa|x(QfF4_JHz525 zXD6)KgO8sUdnI?I6b06#DX4OpnSb_q&RT5vs&PjpeZ!zJ0p()s;QqOc1XpV(Gskrw;OV2%Bmci(w}{j3MKw>laY|Neazo}%h@4pY4sn5sBM-+wIy{PQ%ZW1On3=&^Na zN2D)p&E+B&gaN8Q*hJ41H5e%HB6m!i5dl)$HE( zb@qkW`+PiUr5E~QJtj6({r-wnU6j)# zBfbn<3)FyUY~=$G(5?>7W)u~*Iv)`n5$Slc4n(1lJjrubJ<*?HT7FU9KO-3%HETmeDEFDYj7 zv=Dtfxlm;r2W3GK7d9q*dhGej`9LP*OyA>;6kb1b;27L{7Bm-y*$0ps^TEWC9qNq^ zae4X|Fa2$cBQ_t5Q1s^#81?`?l-_+o1qdTSh{3~Apkc#*x%ex~Rl#54`ylNfD4LG` ziTo7~8Nw$f$|-R5oK5+!lsxwdOdhGN(DEu&(;#OjAAt zk*5mi4QXsJNuylR5hs8q#z-Jmn@C)q0^jAarQis=s8Hcvtbd$#h7Oh5x@|v(&d;X@ zR%vUy32i;3xwSvt;OY;wb(1TD9)y8@;NF3@=9jKc9nv44w`7?XckXe%Tz-lc6ty)oOeRl(XFRmWcxp>FU_Tk!7W3`*y?pL2A?#)rx9wjrRo?66ft~!?$`-i(#H;=K~1m$+5z<{%|a}_tkBy zv~`?Y|9p)C|Gx7<$fC}T6!aR`g>JTsy#p>zaytZenDh_3-9Aotvk+!Jx~nKYcAmqw zC+`VG`!_7h(AGuRm%7D+HJ?v>c_a|6H~7RYjQw6n z8rf49jGuDc?)?vaO8rM4pu_iQ>nsdzV|)0Q#%<=uHwCn{XKu|@leXB7A2o?goLg7g zx=XgGb7x7{fwoTG!QA>Z9u6~)w&vUONw(~FW+$d@OeS3G8>!8`kH&Y)+bt|V3I8jR z*RU|B;5sWySeYt{!#7c@_i$DC4ZqcGdFK>Q8O{8UPkWtuJ&0M8r7+Hv&h#Gx4T z7<-4}^af}9K-dgos+sUDF)b``k~>Rh6`Md$L&&I)S$Xr3e0zZ-aF+f)&7&Uik!l-H zh(wrhDiEberHX627sV+QN0!LwMWvj!69lgv(+Yg(+Vn;)Wo0T>FqA^K z;2Z-TgpzwGN|$Jd+NM~~v@~e5OfyZsz^Ryu%K}S-4dM2Jg?M}8JY9d(QSFG!y+>N4 zC#NC6vTPHMMXo_c57potzaF4kg3>oG(+xI3ar%vki}>-GMXO~Ouc|9O%4cq)V^gxK zr`th?^8O@@Iqc|bcN%fZ%B>sCY$qvXG#uSl%#J!C_gL(RgwX>!mQIqbMfmWO;A!NE zoOSgSBcfWY^l;xQ(C4fwKV~~YDw0xL`=alFVq(-a>7g5Q z`%}hFWyKmnDK8+rimQ3EcDj@{b-gUVLjqE7@C0YAnms-r#zXPUjR-WgKaA6SIM%XY z!kw4oe+a55Tjj~@C$YwA&4BPWGrxR<+V>iMZs#@IRJbt}0FUujs;lJJV!Gmy3Rhc;UH+O@8Y z8eboDw7~x(E%)F*Wp3>UMn~e@+MglznY$9SHKU0aH{^w~g0`j~VR5)y2lgTb%_WX^ zHdu$B)@$)&J^9lR92|63yqXTgizqA(v0@ zpU<09=6enfR(z87~V~@3dGd~%Xo$%L!WlzX;(D^fAT&HOW6>H>Ea?!@A zQ@r2#%-f>dMn`t}{@IS2_@kC;N!n?hke)-c=%uKn)BlDFrv9rAO<>5+b<7$LSqDc1 zg=F+$L8Z0{M?}*+cw_z?V#-*Bh<@RxSi-xHv9Hs*;_o9sBRHO(!QBH6=C?OhvA_;o zt-e{E*=7uacO>nnsBAO1H;RS=`-87go|K*e#_Ja1weyufqJ*`q>uQP5HZG5eK#+IX z0?|3rQHEraHiw_b^b%bKOk-AnieQDZzqI1Drls(nu*KDU2-lCJ1XWlhw!)yqpuqLO zu>vKqU@n%aC7S&TBEM1(r9>1%pQrNX|$DB3 z1J5}ex+!7BTzAnEH>pvd{G9w$%~gf^fYXp!G4g`9n7RyJSGhyiUo+1YN4XMCG$N;( zTfZ}Ewns$E+{3S>_eWbRz;_0Uw4s3n>fVXXh?B&pu-AZzJjvR3BidbzXuq4s)?4ry zLI?bCGKq5CpApWsrkxzz&D#A+MO=fVj~V_!;><*hkOmk9GClIRsK0!@Yr=HktKk-n zk&su5Y}Vn?{p7a%)-e8F9@?7y&zSIZI~^>v5{|ZRF877MZu|}^SczfH&!zkjEj*~BI@t#ru z$i1P#5gOMao>mFOfq!X$AKSzNy_glTh4b_@*`pGNaj2D+bqI^Yex^Ka>3i>hc-oy>ADC5x}=A>#mdc!89QuSXb z$l$dd*NKfYhOYIqLW%*Us4JAKyn+)N z+a_rgTB(_cnm_{oQVx#f#5d{7_aaa^6L)cFGLrg)4{o%}+=Z<~^~qCwh2gyYt+?a^O3+)xFN5Gtt@44F5Lf2Aer;+alf)uS;FEPQO!!?!nKP`i(6|akf-VJB z5GOBwF?%) zE5u7O(On5&!FrIHRfp=P06Sb`JjWcw+8UVO&k|jm^UUcA@*0-HFK*HgJ8&t16A!v} znro9w>=5+khj3Zca@aybKz~89{AuTr-Ivvm@!#`A!)(o#2#SA5z<3=bheZA zS0~j1O;XLRxBD#`AxK-#vv1u^clzn+NzdFm25_mOw>|HtR^Eosv9G5ITcw4{yfBsv&u(7WMg= ztM9uabgpA9>P;bjVC*Vg9FyHU;Nt8%YU`N|Y2ogmGXTRwsPS#)*)Q5K=i;XA0ON~O zPcf`}`Yg$G&7R==7>L$DTZbp#A2Kg&lyXM#)1`!<%))WrBKN7Z^?Kla zsN!}q#cIdf-j_6I6ooaBMQFO16|ICzlyTspt2Sqs)r$s_T#pd-xM_ZL<sMcBge1`(9n8b?AL+P{?@#^IM5(rgx+Zu=Bp`BB0+jYpg*S30 zrfx}4!MeBeh_yc@pg-(k$iY-USaCNr2NNkFs_}piyoz{lCfZt%l?t6Dlq5C=7k%}R zNCJOeEJ<4&P@Ig?MO2fo$2bY1t)>0ttaA|zv%czlG6As2P zwYBybj^2>zY(%g&SmMZG%D%j`F`gZh;caqZM@`(%%Me06{^MAacHlo~unPJi~hod)e;(}F! zF~w3Bx=ge%oVfS_l43rFDKc}c)d5LsNyK}Db0wb?frlDKD=_5W$tDOg-2&Hp8Dn&t z5tu$@?aI!poi&;L$LbB2q^`~NnS7jIGIC}f!XXO;-1Gya0P0Fj$F>H9W*LCabFxZU z60KMfhzO!}vDTZ)%FCxGxH8noxY!|iS^W@oUt*O{5pGo;n(_vG(mlgXt0_mndLHSp5K1Y}vwOu*OHJE?oVR=f7CQ;?1-L zPg_ctIv=L#zsaGk84~WlR$^w>&GW=vWow)7(9zE@5OcfJ^bbg%XnFJZiza8nEjd~9 zZLZF?Y-#Jz9NYHk&Y7+G{>FiNpp5zfKUR34ZuvJi2K5Nx>?0|2Ybqqkv$4YAE9&Ce zdhYi_sI}``?(qVjp5Q}0X$WKNX20|7j)BZCzlN@Z%<9(bwz3lUtuH`GLz8&QY(MKX2g^OqR|}EKZI}ood&hJ<160`P$?-o5W~q%lPX9 z-tGs{@?R+N%AY`t6!*-1h%xU1ExpmOm zeY%`jOG9dgu8wDfGO|PD6-ZlCA}LiOLOHuC?d3W(`;9Tar(cI{TsJ8StZ>Sd$1Gt4khcj}Sv+rwibqQ}0VOr* zWTEydw=uE`yOP6j5DQ{R(UeXMnZxA?Ss3qI)NB#33@EiZ)*`lTvG$Tt1@VB^=D{Ip zYveiidED2E+^;F5C%7Wt2k$3^B>n=1sNz}H3TC?&-eCEQ&cwNx*=f8oIyYj@uEYd2 z>?MF%)l?K?LnVfprok zN14?iN;H?4)w9JuQzG_wrbUa6hT3AOtI)hy-Xce8j;DJ-cA$yfi}QX^79*H0Q_duR z7NE>m@s@5O!{Sm2MTlC9QjCx?w=REB^-ha(>zf;~H%W;S?3oRg#*W|+$emAde&JM> z&L2lx4}F+VV3;xwA(ehG;puNqF}Jqtna%k?_>wbL!Xr3a=%nymoG-suZ=+*(AEs0QmZdB@v;j6o@qLiYmzM|95}v?TpYre%exADbw;Bop}futv8VL>+MZt0 z+&WeX%R0q&4&qXzQCokPcH?hBTxe^Lw$^VUcFFX7&ph|;G!J`T#~HWJ68&3`qo*1$ z4Ug$$P-4mF&tx{A;H3a^(iie`S7f%khro_{(?TG^V1JbdaocOc9NoFSo`M?fs z%@19~%-RiqLfiQVu4Mb#4}w?9qa+ITg1b{Sw>C{kv(Z|Pw!XWYV=!9LK7|=A17bJl93EZV!dG_r!D6Zaex3_`i!*UNN?DNyIYQTkeSM&O zCEZxCOJAfi&f=a;B(9YDfXh8;r>T$m&4SQH(_s=g7KzLwnb<`LDPS&w2F7iVdoXu# zjm|4pEXrW=kA1_aqwgwMacKp7rZI>I71#fphj^!I@WhD6VT=(8^qC5iEJmQjnsB*N zF7oUNd##0bCEm~&IYo<(7S4y=h`YAFQlP#&PB-Dl-6OyDPl`W{G zNB@Vk;vtL?Pbz9HTk&}TKQH{A;1YWwSmH(QHl9q^v!Jx%j=K_@RK^_{ca+FF?**^N2qW&z!>9I+&|MFUdyHih|4N$v_D@Z1<9T7!Jq|n3{NKC{GDcbJy)WA;2}!r zRrV4zmvz^NXkJLj3SY$A&%$2=j+slp3fdhzsAPW#lxl;o5AFX>!2|!D=&T~sSY`kJ zW%V%@vQteBa#R|{%f2=Q1rcq15W9)hs4dma`EutlU=4E$rPY)?$k7ir!?E{WEIDLf zVM*0l=9GK|6XnavJ0&D0rro?O_taiMJOKoxK^8@KvdE_+0oC%LJ0yICsj#ay&mif(XY9r8Ja+)Pe~W1y^NWK&oz3ftlz#AYV#;w>V7)te zOWH~nU)C^hC9$Qz-G!=>++BEaHAL<;fWqjcPJticD;%GE6`Qg$4}V&K8ex^oD=V?z z=2|#sPb9cX&?mRomy2q1oRcBfnt^>l#R@$8W@~nTA=kK~&`Sx}#2FgSXV5%nZ!E`a z6|J>js!cPjD=%pB#;h*?26sDJMk<*7*rtED?mRhR1zPj?^+5j6aN0t*XInM~-5C*o zcPR4blv%DpE{|0yv$t_XhdVUHMuf3DvivFh%Q?xzmV~_nT-3`9Oz^%>dFVD-#iD%0+!6shZh>dDL-5P)Y#sErj2g%PFED# z!F>Vj5>9i_NFr!y@$<<3T1e}=kV)A9(g#>LZf&&xkiIp^^Yej@=3z#E`{+dGw^C~l zrpcVffW09Eg`e{u_ETKH!3Vwf*gbGPfv0b=kiwSm@wXO}>&P~-XdsH(wnH@B zCHk4pDJVRgnQw20&^9{8`YUOhqjcfBXY#>wW3l=YCBnO_ciO?Cvp_Y7c7;gV3g z0Nwb7Ooj(#l!^7e5JXQ&P7Af0cJzTZCmx-L?oPtI5Y}14R|qlJ5KXb!#uDkOEF5M9 zE;$$G<;~nXrZi6!Q-1WV9{GWUM^N65kiQu4Bwq5?N^brPtU6@e7sOBDcu1m=J1>i1 zp<*ua(MejsdfGK2FFLN;c8yv{Q6o2s9xzT>aTpV$tIH0VECmHr7!%a7AjX_Ltu3~O z6-LG8cub&JQfQ2cHQpAM6t*55JjJ$eKotp}hxs2G`p6rvncie2$ekn)7w2RRgSPsyB|Yr-j~ zAoPLFL^@a?K5nd>QK|fbX3Dcd`IG`A#YxPoR8KvYazIetKs3>+q3$bfEe^x=Q95L4C7zBROUu8mPCWglbCYF#2^XzKapn&(Za%?L>n-o$q%}&}W%P$G zaR*fzKfEV+T53?hx**A4LiEV+K`~lLTa(!g{#LI4*T5rdJx#9B)}|Y;geq`%xa@I> zRx60p53?k30t_iops}6R$9*3R`jPrzw>^m$wrnWFrqTv1aEhR6wL4HJ<3*8+6n37hYxV-0viF0aQezbRXel$sj z9?m!V<}K#KR^I|A&Som)HC_!@26&x%I}^(5H~i=M(*P=PyhFzV9Xi6g=wAh2<)slo+Yu0y9f{OqPLeD=woA;lm0>~5 zZ>$-md#z{sH1$l8+{!dJw7SU9RnG>8Yk^mQ&_>`SW&>K@;ZX`<8k;EKLqJEUU4kC( z)hC*W{d%*}Wrl`bG8*^EdKti5FDI)J#g_}|o6TzNPxS(*+yr0CL zf=JG-1WukXmT7#!QmaSh;#RI@(~uU|K_4$)jNO zlEH9B1e{?wR=Fx}ir!qk{9barNM2JgQ1hrghmCg$p=;^!o+-mRj&~U`vrvgul`O(| z`63{0n^vy1Ubp|c)Q80LOo`$ULL{yanp><2azLE6*)|#@tnch_kkf-gC_tBhud-A) z*P?%xw$3;?Ebud1tT?>UPIv0g2A{`A+A#k#DYTBQ`W!AIuk~OAr>;WU8nr}b58+s< zDM=C?jb9gX{r{9I7kkz_tNtwpuk{!np?NSu4j+!f3+6`C7Fk)Ehd<4&^EO(DjaqYP z>q6RkX!YD$Dh>)sLkU#c+WX}#a@xr^yVmh{&IS7lZH~G13;rOu6YnehW1m6IBDaZ% z*Xz-tjYz=K)`qsWUxTsJClQ>AJvBNZy0jmzTfF+Iqkz7^2ul@ohhN@+rp3_=6o@h_ z{xr8vG`D{IHtQTfTc>F2rnGez+;3phmY{yDXZGvx_Z0D}Hf_H`HJW`eNL!=m_dpPB zZG4+kw4N9f%jnQ}tjZsS;!l5tdW{r{+s{_My(s(PMdx(T zs5p^_d0~#c1>Sb=u^j%~3k}QsQ8K%ifzar-acS#eJ9uod)g>Bski%uA#Hn$6E9~vt ztkcy3XFqq%#^R0l(!FY~eSVgf@bCwmekouvY(28ROf%drdnx~=HrjfAG9SHRjxAgz zKoV_J+FbvSNP#H@TobK59w8~5R=ese-=MbdwWFt1T_4UirtR&s!M5F%4%WAfXl{+R z<{bXH)#7Yhr>tw1Y{!BOoDC3tGA^qQ)ARB3)=W0UL{P-QPngVVd5bclThOB?3>AxN z*2pueD{^S&F%d?g4L~aSqAbvjDaqagCSq72b}DpNGN@E!4BipTJ{Nh0&}6JrZf?f_h6_ z9{Y?%LMCC|g9$y=i=I$+;A5ov9KSb9BMFvlCPq-K5ZP%@k>|1<;3uB?K;o1W2hEGX z&q>l=3ZD%Ie)vdPre!nG7;1Wurc-ZPbnk)|;;khYf{H0BI|6n=9@u0p&jC3n{&;pyDQ-w|}Y_0hjw6z`nI`u^9^@rJbv&iDo{@g9Tn4j1@ z^Wp3`+L}SK*+P=mRVbaW@S7J%VZ3pH^^3kVH*?uVdLt|L&shk<&d9jC1Aeyd45Q4g zjg@d`C(f|xaia}B9p~1gWe>MPd^vGSDNi5a*%^rbWIRjHZVXen>(Zek=kXePIGgs= z&idT#wjaFEzczA)OVUaFy^do{*!1aJrLDcW_?@92e0~utf=upIAJhk0#^5zDUEnOZ zuW&=rY0M?{hiCHP_V#JC_1Lu4k7y+vgxzjr^4xHuDkn(I2d|+4vSOU>=>V}hObLT& z&2rbNBwG5hOzKYJlhIn&)2zMv@Fm3!B?0Lz@36yncAPwRl;~}UU2IAB^nn?#uIC~i z&QewZKd*wIfJz@(Lf4_UK-tX{U7;P!EIqC-OS_DgRlElENHL{BQ?Kmhj14wg)_%;D zU8e)XV`A-?!J>tfWWsgl*5$=g!uSHFH?W$4ti-Zzx+zPkEOcm&%YRM;#POsoAjcL< zXar&WzHE}VlLd?hyvZFf)Q_^mN@<8HF~umC)ojsu?s;+me8St(t@-u*v|gV6 z=S>YbD)#m!crmoCn?31Mvng91j<;UNxxePtQCn+n&AxT;Qz)=aac=En?8VM&soZad zs|yUcN(JWG7CaI|70`Zmdurc&+(}!vwJ+Rugk_JR>#8AIMD6it#$h0HYnNC1))pl1 z540EZ3Ge)Sr}>V%+_Ggs2nF-MMw#x7HSXS6j!G zaF8Me4NWpK+dW%so;hpd)^7(@p7N8J2e#WR_SS9nv8HYPbX8dny}nKd7Z$Lnjqbn6XP zd*Ku^J-Q?C$4+BJ^dNEGk%EzF1C85A83bH*phS&-`E|p!0Yz zcP-D8pFWVZ6LFL+oG-wFfpfZJTG@HDJ%Q_T`D~4n=k@i3O>s1POGeagw($ zJNwwP;2f|)bq)D6c1xihc(jxUC!YwedawNoF^HJt5TL(_fJc!={pVIZyhOb&s0oWK zS6u`gc^nyaTuR5}AXo5?nZe>2JFmd3_}4;VBp^g-V#W?~^A@LQ6<`pS^_k{HP{NH% zd@hDvv;{UlBcj0y$xDn8P$bsMveP)Y?we=M`jIdBj0o#|Bu+K8yb1{IRLMe-;ds)S zg_Z2fQ=rVv$)aKy!+6s7yyswQiMQilY%joA8v^<(Ia>fTijr3kxF8%?B2Hr)(@D`1 z8spf;5jDS=M(+g24t_!pVpWh*E+L7`M$z*rR}!pUaB<{g-dP4+OMFfp_Ue~X5B*ip zr6CElR1#D>6~7a^1J=@gsfn*5WGW7Lv^X9Novwcse3e%|Mw3`9*n8VT+H(#`ce8D+ zmh7Iy%3Ha15?^er3j(n`S~U);Vv(HGRWPH-*yA|}oB0N;0SBlT3GG9MS)RS+g zZ#V=J+WPj9_f&1_+y4%plcY7C@D*ykyl1tuszlZ%NUtn1$KX-Q2Jau8O z>qo2%r%Ec}V{c0-u#r(|Yv$HrX<+NloS&_q(%gF5_8uviTj%>j{jSUoj*b(9f&$2= zz}ffZA#Ke~6rJX_C2hOft0yu${CbM^)nJ_W_&i+CV2-MKAa#1jhb(IXonSgM4UKzi z6KHGBfV`o?eJO#}5L(xyK6}2@1tn8!(y9WSib=o^M^QFd!iEq|HwKye^SNPrJKsZW z+vugOlh!u~-d*e1xmyj+B`d&dYM!F4HMgdEDYLE|a~k|gvFG#FDTM^8xoRSz9&#O- zh8$VRoRW)4(nAF70ymPC-!L7-fq=_^75Wk9Y7a0adRK)>R9$=J<@FZMB*w$&CED7n zzoxT}-CKY!Mh)_c5a5z2Vx57LjVwe@e7ZeJoPE0Ph@*^gwdbtJg_eR6l9vp;9Ml&{ zLo5d?1JWsZuOuKxFK{t1X%(gc$c$W)tKzpYF&j>nDp^@0j98ZZx@?0sqt#T&MX?<9 z5@tfYzD%5DDKk}k^dDg|(&|4s(#Z(4@Z*eyb!(4J!Fva@Fqkwbl@#g=9u&MHkgqISoVAp`N+Nf$6y(tIA#l4E?^Gdx zC=Q&chU?|-4($)(T~*s4l9I%JF%0ta@Cy&p!LzumAYZkm}k% zR;Im1BsnGLmLn=IxA!_+*?dCRBY$aGd!90y zFXz_5p{*nE3k&@KUVqv$t$xn4627RpwfYY8TeH*_7`|kDE6OP|Zn@INnS19IB%k}q zAN|g=|M8!|*(Km~xpiUhVw++)xpHpprLE&{Q*`&OOWBf5^m(ewC*AWnREISoo&AHD zC;w@R-zlLxHlYr*)2_MePDuxC9Tny!Y+Tim@Wm)oJ!$J9v~|Im@DRXs2M^I#PIsiO zHMcHCA47qO#1*yt|NipdfBN|E-BAfzC@L9q($?u0SWxY1>$>=Jk`CH>Q3vKUxAxsr zW?j+~EFT97BcJ`%@)HEVcObXMcSA{fqoS%l=IJ))P}R_jX$D*|F@In|{W!;M}pd*76R&Ymt7a#xUt>)I$EB2mbY4 zJHB)=%&WmkTO<2<pImz-Q~B1^)u|*B{-Q*xrHA7~Pi4&DVc;mbvv5;SNKT#$z2> z4mX~3L4@-9U7V`^vi#`zse%^{Gcnx7==QvP9(HE!9a$0%*aI5>e!=tT2iMP}-uo{h z$TJqWmxII^0`N`0I`*t}4TbxBpfbK8nG`86K2}5PreEH_?WWg1vDz=%m(}ntl-}IT zwS8jK!$fZnkkU2^v;JF*%(U0Dn`F=Nz7*02)&I3IOQD}tHJzw&pzNi9i z_V$DKkOK1^fgL+kX+_xQCVv zOWdW%$|tH}RP(EAuUfv(zYh@;26^o$|pqx0C_?>+nFH@|0<7giT|ByAo`nDPNltRc=+ zgSy5+93-at6s080sIB9SBRMPAt1D?y5)K`6@G6v21g!@&?CcKqn91w|S_zNWZwUP!9AVRN>pARb>vR8Mza<@L z8&bFw4*vDu{j;e}jZ21Yd)`O)Oi?AeDw=^*rh&&L+Ip0^^~y?k!oGDZFHxSj6a^NTZt?}!3Td=8m3Wzcs)69+^`-S)nQQ(w zGQ?fe-774ShYd_f&cjjqu$#9FF5~NhfiExw)Wsitp}ln`%~HQ1g!ByUX7od`^LpEJ;@}*vMXq|j@|zE@BEtmwpgklSwg@LG& z4K@QpC&+6xWFOh_tv~rYZOe2aM0Q+sdLKFVa=@RaRUycc(N{YhvD%U>_6oexyC2ge zN>HXoh~;^UjUg8U)R$9VbfkNJ&0vkpntM(ZS<@(v9mTQ}g11O$TM7SyytEkF$y3B?Fkdpwj96~t>{;2}~9^sD-U z3|gItf35w9wQPO>CPw)yJ!44s@x8Zv{9ksriR%L_6h&E?hnMUIuI z?)a#Z?GpSS|E6yLW1(hm-u*Wyk$)_mRqr=m`T7>FCm!`XCLo? zSfZ2e(kq%L_eFzT+kK?f7gWeCs8XApkhN}I0^g~4*$xuU$nfr#9MU$I0)5xJwMBBN z?`1B2ArhaUA#UcPjWT2XqR!~0Wl!?^d&?`lGQDEd?UD|^V>Prq$hdTXs6Sg$6uWUg za|m9VEbHdXn)E~VnSIdgE_@2Dg7ky^Tv4d0kk8M8+QK17nP>&xM`qKeokw5$t2A37 znttDUPv^2`?YdQ>a|Fo+7iWr-leLg*F_cekT^6&V?^;F%LfS5+g3HQVC1l|UFLy@x zSzS>$Qh$Fcv9ws(sKuYYc@JE}afY7oy0nmGKXZ?rwQzH9`HM2^FrQ1D7FP3#=NT&N z+aW5CX<5{h*`0g@z|Yo)ux)3#Mo!qJ(8Bo-mo#g$&TI-l1h@+W))u!~44tBQvT8BZ zYX)YY<|dZzdg)40pBSKyf1f;^FUo_~?hZKmonH}K-0RF(;E8LTpV{E}gvnA{y4~AT z%t6wFHLArKo3lY7_J(*xq5C`~JA0$0{+20A?qOb}i54Woty}nB`pL|<4!z2IveLMM z8b4eA?*=Yw){XZv>`*pF53mEQD0}bXN7wd`XY=(fV{v*lwmZw`zBa|?^C{}f(N%4+ z{#M$mhSO(!>`MLV25tU;gh*+G{CfM_iNbNAaPTZ=x7{_2cFs88xMinJhmXXs7b0q} zhx0p&^XbTn4(rVdrV)c9gp0DH^{wGH6{Ulc8I`hds=;jG|Fiomds z)EnrUkQ-|)t6E+}s2()ym+jJKo!(&MkzSG5T;f1<{BDW1E`5`)>eAF_30=n%!JLQs z#?;v!i8f}C@+^An8C6b{RVA8?*&W3+?WE_12M&}nhxC< zX{~VBZ#hU=Y-Y+-NuwLwebWrXWzAXw3<`I8l#qvMMiv8nV+W|COlJ0kZ5nPgq(%#P0&Ua2H*{1+PeM#p1mRQ z`y#?4EK+?puLT?uB{GDqP|Vn)M@+YHB>uzE`^tx!^>vpw>lJPNOfVlMz`z4-ZIja0 zgHI$rMO%k?-5JqcFDEOu`6wzKgrx4I6T5k`d@RkzHS;07j7x+ z{y#t(pw67z&ykw5F|jMTyv*nBAdlLg%$mECpWdL90^^GuZ5>$ls(tS*Sz2oAnp41) z(8BBctD1G7;;d-v)@L^)ZD@{aT~|A%&91iA?+z9BY&F-_)?LcYy?3>P?#vG_l20m< zwgglA;A>Pn^eYUubmIocEf-1N{#cTQy`SVO-xpniwzlJFYioeg2g;vZa^Ku&^NVk< zCRB=b?5%11WZ`wA*8WO;%$5&P69-7~3VLeR$z{#D@msJ+WQ?bOIa6QNm~z|d9v*BPwV=BKNiDVokdH(Jm1mp+4BPt zq(NI~51z@~9kD-+go@wgFtNiFS+~+c|_+q-v$C8Xm@R+25 zJ2|@7W+1|i92fwcWB#>rQK^fl5^$kF@y{|^U#M%*j98mFg6JZ4gJ-mb#1BUwvNcXh z0miPJd0e$wSJ9f)yL^$Al5m$TW*k=mW#1W3q2*>15AwdIL@XR{%01Tr17`G7o*b2S zpo)5-<0t{s8(cXjr5Gfuv?J_sjFW{1vbn3W11Pb7ivj%}zKc*mEr>dqh(x7IuWZjdl>-xpH&6iX2%on8qF8;&!NUNDrGcymD^C3TMt6Unc%GpRUq za7n33*;^()fNA-=*pArW@ss$}xQnxtPQ+O2k=zzZmQh{AYL&Pi7SbkVLDO<#=$=pp z^n0f4Ia%QEQW$k*!C0+LCF&5k15L<@LO;ZSi%EqAEkV9R6?73bzZKvf1x zt%~Ik$5Oi5+DFBXk7nEb!6kDKM66e*)htRn7HNULg}~E zlo62jAKT$Vec1e4Aq46l?>$69XBDv@WR-xQer;a3cP63ne=+H*v~}Uh=eJ+xR?znq zwzzGl`qOoQ2WLfFGnkEQ(*<+uAZ@MdtcG+!M%>**Td$l&s;Vt2j)O)L0=N8^=kh0Z z^EvFGej_1j>(-;lOX-eZZo6G0T8M6bL^5a9*dx@;A~T?_nnQl;W;{^rIIq^-R$ zCCX!a(sUY1-+42&@E6T3A6kgNX1l6PgnAWFC*Sy3mg$EK^88&P?!x*L1Jh`03WKf7 z?BKDGk8u_$eC>FOwjNIW(Z^#8j^ygEq{$1;a8{j{@96hRo>hCGto;&okB#(EuGn|l zU|QNh^Efm9oI(?{b>ku>d0h<>)f%AE)}=#F8p?=qBkm`Xy>Dm7bmGVX=6mpY?jp*X zwvYrh?B&Gvb#4Ib5v0e^1Z};<*5!OQ!bdz=rxA8&ZjH8P9L=uDY9O;NkX#3Cowf)G zDhbJxfWr)y;*ubTwj=v>Rz(^HnXP?e2y!) zAa7`|8>n(*-c#|S_{j=%0C=<(rYT}_C_4cYL9rYrQ-~sZ49_vWZ;?%XKaK4Llnl&) z6I;CGA{Z`TJ)R40UbN@TE3IkKSb@S9?nLC-ybpNi;B-l^U|nJH_{c<5bv$kC1yO^O zC&}qH?G+RUlaYFtvP)nF474@u$hYEZT6Qw)bMvWB%N*kcj<+Qzn*^m2>IX{_vlrHk z9)eo=;zY$p3gV$+mTo2=w+x4%e3m%9o)IszP%?pQoU3bdJ`r*u^&wNu4`wdScsLvp zpEscFX*ck;c7ylbNf@J7m#E;>XS8v#=v+5O0xFA93I}zfiZ18Xp;NAuC`B&}r$-5a z0kaRX$K-g&Az|6HS<3qioR%5Jla6F1+(rNxY&9EGi|0g`s1BZ&Uj2}KJ(&N|{>=^`tFdLnpzK_&~o#$T^E9 z>CoSdC&hO!3Mo)o@`aF0P@Nz=u-Ne%TsQYI!kQ0%NysruAxgBGTVK3NIDdtM!?xplorqPEs)-_h?|I*+z4D~gcU zf~JiJ!jjsX*@C$&wo#Ou`n>*0-!L+t3qDQ?a>2i3Mm%TX(wJ zI_S4+qqb%xd_`N+cq6~f69WvTzYoUw;Hv{XX{|AM&6J1^ZyU0w=k7sU*A@!Rt#5Cl zt><1Oh&Jj zSQdW~+gE7mwCsPPS#!2`nz^;t+}bg>=8W_PtId7HmEsnmwV;)|-z01Th3a0(+}hzl zSirg0Oj}9@Ut$0eu1ks|2VNKb3m;!S9#LaDSV2!%;|zMvhFY(*dakHFk%Y$5h(dZm=+y)8y^JirEqA1;2@33Yfxo zW74l&W{itvAdb#LgqDbSRgJw{urWLXQdpWvEqKC&*$4b(;SPpi3Q)UrvdAf&s-&TQ z8Hf=lKcVU9M#-U9*2(~J0hc^u>`Gg!j1{+J6w8|67kxLZO|$aKv0~~K?svR8N()l_ zi)$gQ3%mplsToBri8|h5T_YCfaTqufs@B<~OyuXmaA5eRh7h8%N-&CA7A3_HzYduf zM_vh3sHG~vvlomgSBn@YtXuRj2D+TO%}GKM(9nd?YP{jz-pP7#a7wC`D2$Pc4VU?v6E`Z>ye>q@q@^VnIYbG_1uBC*%!T z5;@lkl9!-~35Elrbno z8I4sbLB$nZJ~_)~v`?0I#0lwq`pDD^oA)F++Il|X(YZ#LBo?c|IZEbaTN@lfg%mOEL(4yavJ!7j>dvhT zwDpr(2_HdQKU-?+%sg1AIOb?uEM8_I1-6K`jtXqEoszbm5=s3!1KKLL7RIBk9UGf| z32nVD2w*))w;3Fk1=Ys;KJ-}V{1KN#TIR^4V=Tzrzh*PnSissJw8B-jiwh7G&6pJx z4|8k%umhb0Sy`>E6$L{MSo)X9m|I)Maod*LJ(6x>&N@10_R$*`?GIanE}VqrH^7{c zSvUV8DdJ({XRMU6D6I)Fw3AqYcihT(KbUEHy?0bgw-nqY0W1%j+kdE@AB4~TpbFi< z93g6=e0U63MYqt_NnZW11Ig|t3!gd8-1-z>ARPSxAw|gRo3aJjYG>y2n^D2si~@Jd zv<7cQYo9$GzCXb@Z0Br5_wjkA>I}n2jAZ*jGq#3^6wrMoT>nR#Qq^OhrtQkh_)^Hr z9!}`l6UwfpMA^TB$~_V?Ow7$T@~FqHGeWcN-xwNV7R}{uV7MEPL3(Dul^V& z?QwRy!(e_)eBG_ypvs%0=XbG+6i5cMPEfr7=3|UNzDTtgnUr5=L5X^K9G4qt$7i1h zNIs&7#}c3sXGB5VYJA}_0bi%C@>z`fy$F(;BT3!I$Z4gG6)k+T%o6~#T%4_YtRqft zQ6=xQp7*-Jk$i+D((_4(b}`t-HqL}F%wu6BI2S|uKD_wu(u~+-rQ>9SXl%Yz&!CZC zRJlPRT}aR%D!rB!C6R6k)&kQnlOzjP9)l%}b2<^#+c*5?idZ~o(4}?tH$3pa6bNmJ zTpV-a6N{SB0B=vL9&e4I$l1nS2Z%=*kz2{QPoTij=8t*~=w}?<+gobuK%W;fbBu^D z!fX__)fmu-P)I^PtxYfb96m;H?Llh2+!AB#uqOX6+HdW*!n3`Tc@mqXY5-q@l~#B z7kK>hL%2g4nk>EOd{9^GcIRceGL$X0*{1NpyR!kbwJ!SijaP!98=zBAA7mwbrBUI( z29VTFf`W2Sp4`Dd9SCj!zSXfJNK?^HK0MBs$OIFh#?u=-CB@)Di%-zuDr-Ef#=s-t z+ac{+b3w*2pR)x&*ZItx&ezQN*`s41h1ehD^;bI0t<&_3C5VtKQBO_9Ucc!qZGD3_ ze@$kM(VdeANWs&gY2Uhb6C&V@!bjk-A2@#zL)I+4kPIj#F+q+Qma>x5ew(4KM*|L4 zruqR5)q$f1!}~f8>I>?dlD5A$9kJb;+jj1wmUS9gZb$F)*8VBmlWZG+IOr?Dst`Vw zmJKheIE~oF+qeozf$$cpZa2y+PH-4K_XCPLc6g#e>E>-_l_Jbqo?tk{7kWa~#v>J1 zdh~dL6?^b;;Y3=ptcK{>!Y5KyrD|h5CIUQ_`4>~jZpcS(w$0NGXtFph=eRM`p=lgw zYlbGEYGBFGM{|b$&=v~Ghsa?d_S_&2fosH9fcf+kR{d=Km_{vq!P7X@AWd`yfvA^c zrOafCuVfG~Fde~@gQgr!RW>kEjmTARvs6DHn+!7*Dk&?f;suY;;_4H}+1A6L-=dgH zM0T=Fz+2Otm)*S51mHM0{W!cN8h!dbGPf8X@+cJERB7RE7}(9*3{CoxQvr(R_6CXk#Qe8N_0<7~ke`Dg4Jx|Hu3O{3n08tDvgDB3y#SuSAl8kmAej zCj_Yy{2U2m_hXJkRy3j}q?52BZS9lgK_)VlK(fL%qOE7aGmhW`&v>#t&q{4g9lIPl zyKcR^_Kw54_4*>TxmtTwVOYCXX>0xT&C0&@TvuDSnOpl98~Xejg0!@bCQ6@L%Z@{VsF@w&b3% zSh+)ZfN^fl{SzKv?~(_>Y`}#rpsgjvj$c(x@F$Uf^AmsguBTplV731jCy7_HU``l> zB;8YHD;H!eTxD*J${^LfN1Wy%;i(sGykKq}CU$2-x}S0sdIdAHg`2L)ITIcgUf}9{vjhUbOZ7v3FKT7yR?TJ@K7u|I>%ysSAr}Yv2AvlD1XWhY#g;_^EddHyYm| zKhBCfUSq{)K2%ui&}jC4RkZEhh~0Pb%$AwIeE%z6-*xj&pWVgPDr8o}&W^`tdhdWW z;=LSof({-VvmG37Kk~KVg0FIq@Y@gD#8Qgkf(%^^E!UYEqwNhAI?CO$4wom-wK!at z{d2ng(OMSpw)Uw}pV*n&vEmUjGWU_h-51FytO7seKKE1q>p_&Wk!C-0&9u37f2 zU0Y|u?cA`9b{KfDZ(ZnDNptSdxB{k;8rdz^gjFK!h<+45ZSy5wZXoFXq99uv6BK+U zEdR)BM<4y(1FO@B^iU8%YEv+Yqb+egk)(e?*?OgXJ#J5igNyjMR^V^m{y%@~#*|nq z(DLS0Tq1H2mK@Q8+!CC|^b0~zvd>~XMxazON0GpN*Z+^V z_kpjgDD(g4oSdX5X(@&~EsARq+^_Ds?xp;(x)lqei~n|`q9Cqob^o#I!uS1|b8r5%H0i#6 zzt{Ik?m2U2o_XeZ=HD~VoS8X_9kjKvU?9b?S)@R#83qQrL?7X;Q4o*XaGE)nj}nre ziih6hC}@1|2fumO!$cVeR3ghQ!nCv$MiRlTMTt4rFEl6n+S0=5#l_E2u6re^>v8x!?3?&2PB$}%mandoCFrR`6&>OQ2LCt1FNh;S$ z`X08g_ypymPcwV`B&S(HXazP^_f1!ia3@0lHE&qI_8o;4V;qIR7=9d_AsrT`^2|ad zd@pilNX|ekKixHS^uip6r}k~R|AJo(V)>1M)hQ^oG<+Orv={CUvXccWe39J8jXdxg zca3wJ(CJttm%*Hav~_e1vEUJHJ$&y;uy{ofm|2)ZBve7MZ$7Z~6D{0`NneebCJYf`7LX@y3Tr=U))-~`_aT|Hcq^r%i|1$Iy>&%c?s^i+ZEN!6ATo?+V3p?e=f8OCyIMXxr~1gvS8hT5zXR%4 zPxBg_X@95VyNrWoabIS3yllkWc7HwJ)@?9Dv&N&1yDEDR{pMBooq3IftU^+0o@13s ziRG2|z>--$3_Kjg8ms0Wu16c1GKfatFij$QM~elC*s)W2+bq&t-I-w z^AnG@ZncW@H}mS9f0V5hwC8@=)>4*}z@6~j4gdAEZPfv59<+?zR{qwDO`-4F%pSY~ zUI*umbkkZi#Tx%&HU~<+bJ1uC+qwZh&75)m^7xOh=>2u+9lzN!F`+HX&j=Q(s-!7B zlrT-OHM7iwV_Q?tHmxU*SIuo5d^j>EYVS(-_9$mn$u`fym^_)Z?;9qU=;|xQI;u;k z&<9G7MQMCH(@*VlsnLRRyNm1Z%Ns+?4z9Q__aDEGTz|?-?mzj$ua5gL1vAeT%=@Cq z?Co=1DCK(dlxEII1hUQDCl1D*W%7O_&GR{7_Ny1BA9?G$sVw85L1!)oetx-^D8{(! zJJ`XMMD>R@q`bGLE8o6^*>)`}Sl~gl6fHMm=;Fv;E1!NINL#(b2t+-7S>*6D;lkZg z$^jr0jL`gP?ArW-UtXPVeB;V7k31b1&_F8RI!^*DTg1mlhM`{U5Fm_9cm`T@nE?J} zZ~jWlpZ@l6&Tj~CnQ^|>69pej)DgKv!s@3Bllr-C{Xg81C(kDcC00E%xY%g)vxN2| zqZG6F0(z{TeD}BnOMj@qKjDL`wah^kaPJ z%=0P)l59)~5ynJq%H1R2@elq<@w7P;j!|eeB`imH(}1GbBbr2!f+$Hs^$ltbE@F7n zAQTGKhn&E-nghbNdaCEDL|tS>#U#$<0THwc9-lUce&=r3o`X+t>Tw(nKYRUW-~0XF za1v1!u0##sgahQWRrzAdoM(ZP`qrV(nj`Qb-8^9YI(ziB|MmCG2iANl%&QjisAGj0 zdsP(CwDJ>CCPYj{Ua?bokCU$C2W03}39rK}nmNp(Vn(YUubGYa7Gnq^>)QROtU#fX zneq|pQIMFA|Ke5OtQ&9E4a)b5Q2FYyT}i%s2KMP}gTfY6LIB=H=AFIxITGA6UpxKp zo;o~!j6w;FoMtk^%rGwwQ4vKnbN&LyIt~P>8$ijzsUJrY{{Qd!+3PA#f9uE3PH5Ku zpJ|Vp(bxXbZ~jN?h5OeSSqWj!1Lk3W0ge|<2T(5yqagN$`JBYx8R&kgnn@9<17 z6O#4n&?J_n{`(k101zoJM;^fQxKEc*j|S>tao^~(AvH*PZFTIU>p7bzz>O7BL7pa(ao@^00 zWe)1>D4-th`0cYtHR~=@X(^)lhg*#`4e)6xT+^$pciBGrGjiDm!hwxRWJiq|-9c9t zlFdUvDvle7^>}*55H`O%MAX>BtdGm4;!!sZmDB0)NH&1QCb3|crh|HZ1F|kBbw49m zxmzil#*tQYaj8LAqO9o=P#rf8Nv&lLPc@)fKf4R-rLN`yPJ9!4#}2`B`LFT4I&~ea zva&)D^Q9B5;az4yM-&QdxK6x0{_L}#Za0&gb-YTEVby$v2wf0Il)=G`G)`F@<#q-D zh!Wn%GITA3eLhv9+HyTw7B?u3Btb9-G(cE7vZH4sF`r9hT;qj`vYU^hZCj{>IHGq}dhc<(sea zwxtBBK(l`KVye)j0qOG9iE9VJ7xDi2MYP;Ahf+SR*P~55F zH1j}`g+@8w#pc9nxounXg?OB-v0c$@j|Te>spVafBPBE6*I{(-MP3}YH=3O_&c^Q4 zL(5L#3EH|6?~d5H+#atpd*N{DT(xH8{a$_i77{%4!`S%I=5kbMP`^%_8lzQpjBncT_u7|pX6>j#O1KJ1J2WH9(+2TgjT$CH1DYo!@VA6}LxdX?RAdgVB)1P|ns^^s90o-S zK?6Tp@R?c?>?HTClC)*!kA~#HTiP!#bKK)Vv%U`ME607PNf!Ik)Ky|Ka%-J0hXy82 z$;j~QCzUL&ByWZvdsHn^vp!*Rv$mCJG$)W#afxZ=H5L4In|iPF@Ylhg85Xl=(EBjWT@%jbOvZx&$T6Vi7FL=4cCd6Q68S2)U3lB9;570Rkr+~?8RwHrK(6S zO@VR97X_z@N1tIaouo{52#Jc*LL<{omp~uJ=1leatQ;PST39wW&CWzg&Jc$_ zqWvw6k(y*?E@4_%(I$eLHR{ig6_|#wxwdu<<__4o8gczwW96;a+K6>+PCbtf6!s;U zQrnyiH0x(M0O-0gUoxK)&u=T>fowokTi-Fbk%y|bwrFdo1BJsrpEyk7c_9ZC*H$}F zSa0+4*|AENxxdwk1T<^PWn#0o=I%A7{uz!m1+FTT2t zq-aL*Iigux)5amp!qf$A?GUB)NptlOXOS)(GSLE?B+YeExwMP#VXpFN;ZSrxiXwo` zZ~9zU08gKg+isM#a>1!Hun1R2j}U6p2=7Mg_v0fe5-Z<{a8$EKG_uH}S*13k!_wC0 z%AzE?X-^}zn3JhK>ee%blu0>GhNGHw2u`AoDq2?!J-k*^^s!QK0rUGdy7fP?9?*y{ldC1=N0KlHJ;&e)!W z7#%_g8g{tKZN-Wn%E68$ESzHi<37C|Q7tmPCU#9Y8@8*=ec(oE(7ZJJ4##ecKYWh` zN<7rhk}&8K6t(EcQ|p++j80W1pl2GXVtsPfg92d{ogfM|w`5RJ;h94Q!abo*!V7Gq zsB#zl9+lBPGtajka_@Nfi`9U`9D715(hRLMYo5)ZCBR$D+M_`skI!ZR@7;UX87U`hK@Xkltx)p8ho!J+b?eunf z(~qP%p9?4vv~`@_XiYuck!sWQa1$tp$W+glj0PYzXWJuOMW3x7z_u>tpRLca!_F7weAhP+ec*(s9fQ>M zjV?6j*?NPvE|s2&2F$}vv7ZG)HoTF%EXszjofX*~Juas*)fJS1_jgf~u5V0Adv3|h z_cyS5Wa)%Q4`EK`_Y}zNqaBN zY)R?uY3_i_X`qepo7Xt=rFo}0FWh%*Co2!s^-A|S6J;wuZ#VgUG&A<~deysW!KqQa zxGoM;qF(5WZ}y2@MGe-PEnZ#r_im>_bJXU_`3tgiqRdSr!V>TYawe5|KhwNUUrcu##vHG{L1Dwl*Fq;P5M2hOe~LhJk@ zMSbU`<;2hMq18=a)}+_ecA=RymM-md_r1!otCKZo>%Ky~fR!7(+U_XrVl2QGSU(0}geSz<%FsxjFrAj;QZmFJtFs96%$GEyYcluNi6TxmsOkbh1ntyvpmd$XL zjNW8B%WZ8(C@)71qZbe)vaRj>Lrg3NXVxvwjHoF=f`{o$qP6t6+64%|Mlk?m}lrq{{ zGvQt{;f@e@2L0%;7I8$H90pspNz=z1)=iG1tq0(vm6gM2>(x-oq^-;LXEW2zA2-H6 zG>WTH{W|k$-;!A^iPp9p59s|vR=PflJ7I75kqspKlWiI+k%V>o_ixQo<$e3l&0ytt z=n2REGkvVX;r5?oIC%@2RohxK;XW)hV&G9KA#5MoI@4MtRV=}xGuO!;@qT!AJ>^Q4 zFnvrNS3b(Nj!a>*8*mpcG@HcO){jPfB=*f_coPp9GvQ$2ng$xd$-X?V-Vbf8kNuVB zq33r+Erkb~5-kfn;}Yn1vANhh8j>|0Q8WS*z|KtSl% zv1`T!_Y{{Iyubvq@~+gIr|0r9uT1jUrU4%gZANW&kMw|=#g0-Y38h%5vK-+Sk4}!`gUIH)haKorNPezK2ED!KD27k4wUHk+c|0-C@fYDkE9!~OE1`W7S`Yk2!u4wA3|J$BufFtkH z*0;>D#{IpxOhSPLV#m5TlPnBjXlr0V6W+!>`NGt>b#)O^iywR23tJ*J=Z?`=3Tt|3 z;=Q$Pg7*ffnWoVJ-=cxX){R81&V+~e)|v^=(AF;hY`qIrO4^#0vT4xPDYmso#hIQ_ zCZ}oGXlrx(&+3w$+fK;L=J!w=uV^>Lj?oS?^6jRc-ewiwbl?+niv%leM`{u~hY9a& z*wrV!_cMzS->jNs7xpk^N)D6Fzr7)J8~tZwx_NmXlv%U>>ZRv`d#+Oe2Zz2PcZb{cPpcT9Zz}o zq);cJ)y;2e*uq!-1{au)UGrv^WkPpf(O{hYx5#wfePP-WrO_PX-zXYwr^RkDcd~sN zKoGNhq3@Trt{{re`rs>pXZegz>s3GOu*jLU3nM;TKcAJuuQS=E$6^b50Nz`>rctOA zmzK&ZxO^rY2Q4AlUY~6qJ|SuJ%ez@*5D|Xkl4xDI$w;NCQ#_8c-bOce>0O3ziDdoT z?qugyp`qJ%Ea~ov`x{NCg$6+RW=Z7~Q8Z4MDWP9DZJHCS0%kc)xd@r7ZPZk*YwQmP zWHfK3tk;9H%A1JuDQy*`&Bb~REq)8`NaGeDOY$)E_aYm7 zrnoeil0xhFby2s9dQgA3lA##F_Ud^NzY;WqsaK^f|6>CcIq>G-C}MF-Vyt|W3gZjo z_yv$C=R#2{zFqD`dC4JBkk)x)JkgD{JZDj&%*44kE%QuF#t%ZR=f^!&J2eUTQ-cQE znCYF+LU%YSO6b1^%6PZhe7VC$+{{)aA@f6l<1sZrHK>$`1`TG|g{67rAd0-G_9#Y+ zhY_Ptb#e>PJ#E5+`zb+a`-ZE^^+b z>b# zzk-2ySzZUigHDy;sJo8v+4}G{uby5%R^MO}&gUag;<}rt6gw3|Z~}^u&p)H5JXL*-E_yS zl^NJ*N+}A=V_WAZUZJgBHzI2$JoE+NYn55PujLy&4e(l7)5mV~6o{nv_cEjK$$y@e z_*r!>yQm8cw6!apGZ25~_a|gSXzSzPuwx(VpiEiXI#U*-tLat_?5GTD2aC_A zbwR55i0$(rg!1NoY-k}D(blE}@21WX7i~R+u!A*i-Bg_kHznR%OItVQwly>pskp4H z_tyGseF71*^=hBCZ;LO^(yqe81Ky|5s^NQ^*?)V;MvxwQ|$fj$*VlLqAy@_|6W%@gBZ}C%-3xP>$ z8j<{BKJ3t~_tt~y+J)rtd=BVto|7h<^zKzTO6ON8lE`Re zlVUSp=aO&p%%SoqiaA1)Y>yH%ye9U8%UMBjCyYin*87VqRIIwwy=~jP(2;S|KrcHb z|9Y!4<@IJ>{a?~33LmEA3vp%+&+2ctZk>5eT8_T7ys34Tk&yOrUGEq0~-R!=&pD(DN%E$ z0ivp>En8#Z*9$w}JxoK-!B_9B$f<%N)WFFhA(anaMGZw~B~Pmj0eJgi6IP#rB2;`R zD4+FcjzKa^1D+1cCS71Dc9_hNV~>Uht%}>1ScTy^%2UW*?$ZE#8ffwvFNRbR4^9z8 zSfY&RK`2%Z1y3XkLzF6*yv2$w4s81tFrtUy$>$L>olQekw;WcVuN(&tQ0^&}siBlE zk~QvG_0o)LvP7{~tf^8lR@9LD06)HQ=EdXjy%&Zdo6iRIA+O|wqEuIwripjXQg0B& zSFo*PM`$liw!~fFYoGA2N>HGg@G^zz8sY<}JLrv0P{~Yn`2K)LRH?5$`NNb~Dn@3v z5`k%dtt>2?evUp2Q5+MKIDCUi=;!+rYrJEF>DStyX#eR+Kiz%#?#u7I{i6?`^3*kd zc>9jGec){mEqiF$gJ(ZDvDl1gMuC`ji<(SVOyu>FFxuTnE99|ZOxH)_yG61zxTRl^(wI`A;~rl{iQ93_tv+a)y;>& zDV))_gb$-&yybDDQ{!`^N688QX4jP6zp^U!Ntf^b_7h!m_a5Hg^s%cX_6LI4u_CQ9 zBWlEG;HZ&WH?lzk55EyFnVV>9&4km1=i0hzTjOCF7D-!6b=wDsf<6ta%r-Z%-3vj5uiPctKQ@w%)dr+6T?j=_Ycd zo;`IUQ{?-7I{5Ze%so~DBHlx1Q?Bie?-<#=^}S~+AD%err{u%sA*T)&jX^|x4^O4U zVD?DcI(-h?n&PHjbm^msXzK#Dbx|S zZzK0E<%59t#BKxWg;58%Q-Cu`12)huUMW8Un!93qRI;~Y@GpOr>0~X^$nWX~{7tMO zS^5&w_NnhjaoWA3CN|ai(;pwX?gzbX59VT1?=RoIP^m4yFaJhGr7&cgRc@>@OYFS! zGG0s6p{?gdX3s)fY+q0}a6|J;`kFUA);&z&DypyS>!_fu8HX)p5Pl7_Syic%Qa zv3-#*R4#}Tznm&`Cs^jjZjNGJM24O2g=6NpaGU0G_1#pt_4$6eq|lI=@@cNyjLeEU zO*%VkiM;u1j;V2ry9?$^bbDu1>-TPz>$17)|MQLa>{dR!ZDO+?n+dOKBnBP>1y+4{ zY->t%0jf~1;7jfF!>f!}1EX>UC~-|R9#L0b)*H)u%9y(2hum1>@9+e!Q(KbUWo#yz zjQ+{GS@N0^TEpj1t?*h+M1nBq;Es>old>+X8tyepXVGJ7v#bD2+?&wLY8XWAJjV`4Nx+?`HUD>$mzo&E?u6dDM3Y$Lu9EXfMW@WN2M|r zSV;fK$_Wf)OA*-t6M1rXqPE_#?7{UvUh(sznsrS7o0B!!&IEQatY*S7196DMwZNN7 zxQ2S*a8`ld4v2$o%?>WA0V*!z6c~>;w)Fw+(o4|RoXx9xkgwi0UG9CFfJn@u7DA?h z&5;o3OaSKhujb|(IhKAMBZ#i3CBu;StLj`*Jdq^@uZ)W$lbZEIXTR#-A8J3cStqis z)l@yx+%f%G5(XYcJBeU${ z$<6wz&Yw}uCpYWFEU!x}Mc^v4W>V+A+QR`E8SkyJ5BbEgciZdJo;|Dku&pgy?oMMS z90eA*q~EISb0#7s%bIYGf}x^lO?b)Mh@PQ&`^6?I^6JSe_wJ-Vnj7cEml(^R@ib0O zQc~tj1H9iSYWcD5r2eU5)>2s9XZ=dLneWXSSxjvKTue>t+H@kbKYul_(;U&P+dr}F zsAkPX>PtehDK$Mw`k`@e5vi_jj~i+SWHcfQwl%|`Rdl0=I@F1WE;&ThMV-AWD;+oT z80#Dvz%v0OW{|trgAo}r*z}N_A-o=+X#h-U36DD7(ZSu3ZP^W^;xnT_DT2CgnCjX9 z2i80|VGw@RLubF~+M}AaRI2v>Tgr@rsSZ<^fF(XZ&u<*OoI+`+1~_?CwYBwl#tDUB zVd#8*A`S4JtSX^uo)`<3`HaHQvC{z~5C(p>iWc$YaHCYbTN|6;udaOwZ-2N+o+Fy| zUGt7=)}~V&D6WklrxYANL=NZMf9 zEHo9RKDMR+EzadI<~%%#y@(>!qT@hH+)W+9s-jh33WC`%9-1tIs|rXPwfUe|383$? z7`-s%o7-Dp5Ybz`P`8EIlp5z%a?f>RKUp&aQPM?l_)==v=+MeR0angD0LPKddh3zR zno~HuVz4DEOuZQ9Lrj4O7x6}2b-CZvN%2!K&PHYBXMI`pb2y2-7*$TsFe~}SXMir4z8}Qr7(Col*)bA}UA&k75f+elN@xwNt9$+@tE&O~P7s+9c z(AIC-a%8h^09%J{G_&kLG<_Wdi~OvQQ5}%+VmKa=D4dtc24c?r$WcW6{|yxX=gCwj z4zR3OKG8GlrR&N&cfUv@(cH4WYRCVa;*l|W@UWJ=|N->0AUL_N` z0IMdGsOlUqs3?VAvEwl+XOL#NP(l|_ies;ylpJb&J1_}x0`GC(Z zxdn;Ub%XI5&?9fi5liPdEO!oGH?l1%kY&A^w9oygzAAU0gl1UVG)y6t&?54*{Howp zSvz(xBit34iOstG@{4=!KJ@ozKS#5cRLmW?M%tpoxr?ip0Ur(|LpE#L=QCwOJ#KVl{+H47V_N8oc^r`$*52%0;ywOEKw7^2DOk$2DAsIa0Mp& zZO==a$l3(5rA?7*T{w%pekWumHS1*${?#47d}8vX^QO+$C>`_e&MdOKT1ONbl}PD|#CJj}TX$E+M4T@^@R`&s zd9$fpbl0BH2}fp9vwrBc{V#mD{qiH4by8f;A?p-@Ht}#)55=SdLoz&eRj5qlN78wi zaEwXE7XpkUWDJ-L4N!j{H?L3Y?IUKxFtx$i5{HP#pES3da~7J|&*SAqxqaB0RcrZ( zQKAZQprxUoRJrfA%cB8G^Gfj8?vNUHDVO*;XHj%d~g z|MnZ$ACsGP$-29`_kwIU?EM5~xTf1yPa?zbuo;o`Npud^QO1^hAz%`aC4eD#J_~!>b8L^Cy>*ao<$WH^F!D2vjAeMsqP>h*u8uZd2xtYXUC7;jj z9nq|J-*wVk7=$0$tfAoOk*PG}P_8ad*1R|;H$0MM;S>ikKLV}lPt#81`d4)Ajj1#ETF(&5$_b6KlWuN>K|cekE>RI~Qo zV2+JRJP+`WFafNtapH8#Rh1ia$x(_*WfEleNKX=s9QXT7@m@|e?qmltTG}~`OKa6s zQ0)2wV}BgQ6+?wj_BM7X;*_BL>dd^BY>6#vdc89$3DfIzv=tKXpd-ewy@v<%=j3KR zZ{hPFJgQm4=c#@G^d`)}D&Frwt5*GxPoVL0g-2v_3yWV^H{Q@p80vR%vS7 zY!)>ZqfvT<f`r;{Tw(PyAD?ux^`@G1IHwkx5nefBh#zus=1H(<0a8*T04ziP2odt7(@_Y3OHm1yfyZL(1Z3Rk)y zIt`?c^~O%x+N>bo_Mxr)k~dxKdf$wGDKQoIvJPXfS?%kWG`klLueXfx)m>knk48VR z?7`h1J+fJ&R;8^CU2(Q=IXy+t)_-7hBUa)Ugcp91ubjY&&zGSf4i8MO4}0o-uC1RW zg8FlNrh_R;gfZG2&U^MBqbMG4wtO>^b&k8{G5N};ZrrBzRL}d`^7EUCHWk?7M`$az zc9_9jTc=U!f|GnY=Wn_^{ii+i1uk9khSc5P$+zHoUSc_cf8rqgp?Q~|^&HK5+45c! zs^kxgOmuWn^T-81A3pMZJ{ou&j-1(m=}xl6(gY55s)Qa}>+kCc-rbd=VK}xUb=*qq z7L8BCt~zH9*}x8=N_jmKP^;)34|P;}2WHz%w9aXu&&;jNH~Trmy2T0AZ;TlF*CkQ* zA@bRqq&G_1{okAJ_|I%P{)x<}$%F8NXYV?yS=0M6?H^JuZ$-C0-A0 zT7_V!0=4}V!oE0E^WbTHqD4waHtUAxXx73P6Hbnx2jqY$8*Z{?Cqgpu!RX1#M@&IQ zbIM_c(h_6|gHdik1FKZi4xWU`i$5;>&f+&6^pUl8n7j>hkUmHE6(ybabM^ftxZhRJ zd`}M?9A5_sCS`-Mj`mCkaMw%WR3YSo@6pZ?<6X_y28ZVwOdvAbQK}G8Imaa|;x5D-5vu#9xD2m$ z;oid`kJAB~$#@~6&qci;leJQrJ9l&sA)!^xL1l=8HIM#V8A5$3Xn-j^lcDx#N z($<}dGz}#E8SCAdzodd^=74<#Lf;sp#9o}Hp1A9fcX)Z0jVz$T9_URhe$xYd3fTI_ z8k$k8d>+J&Q{BqUsD{4!Xx%k5#NwPJ3P)|q50Gu`Mj?Qw74q05?~M&# z2=L)WtwI62k#@^O0@nA%V7$yET_5J*Vr$I^E&f8A`S+h|dj1WHC64Pd?V#QeY-?7c z+WXwZ&NHWY5(*! zd}jJi#p=q+|F>QU# zB%^s_Nn*k%;SP0B#E$(_f2da!1o8?0V_eRLs; z={<+thM4|dKf+s-Bn}gYzAJc2ifO@tK@r9S8jFcb-7J@(Ayps_2^+|>!Nj!A0C9WO z0eoN^s4&w7eO{j!d;RE%I9v(-iw0X_t=~$49=k^hvAlQ?l@913UbJ!@OiG+}V^8{Lr z3;GE=J_v{jD`l;*UW6?10z(xXa`{u`5I{Zr!UGoxFa~OEmZvPTcE9e_%^}O8V__us z>MGBI*_ZT0zN@QRh`IlBT^&n$@E_&p`DwDKuA7YW7kduhqE0C+Sq#Ik6S`dYfFfz}fya=;mjh=gk{;)s1w?w%*CeNFTx_{xr~Un)WxdYe`2#6iF?M zPDs}-(~i?{aam3 z=^Yo8IzVGUVX`0YV@hzp&AW+wXhIMgqK7=rZELko#}D)zDwLa`UYDwhE3jH-48hED zX3^sjy+y!8TgR&g%jVE+Q9SU0=1sh)m>Nou1;Zwzky%{&Qxl`DS-2bzg^nVM`|B@` zvJ2AB#NNFvQU^b6N1^x2RRtiz<<+)m*3{U5zZzg58_P;~Ta#tD<`7Frq((nLZRIrt zbpi!S+9`)wgn+)`*j!Y2qp@Aha2gF0$fn^OTvSW0>T%Sq%LXsJeTM zqe^d!f)jqQ&~*|OT7bq30C=sD~Mc{p+7~%zO z2LS}?>@CrPjGlV=4dc_d9>*~WD=dKX-#k$caU~;_D3;4kd6*fpax1+^JvR(}9Dcm@ zI$ogmg?3UQf`(I$d!$PMSCgpvJbtSQE1!_A9A#+mx~m|!Kx2WD%49G+$}o;efn+p7 zod&vUy|jsmAZRvD$qI6Ph>tft!GnO@5uT>FKr0&{ypVWnEQ)ljO}c8VQjN6~lrB8u zYCs=%C--Ju!194HW)AvVjVsF&HXxZhoagTH=(aM%Lq|S=kL#G=OfNK2!mV&{cLiBd zm*lh7^MCHu8xuWMWHF}$$*M0a`>d=vIwz`KTUC3(FZ$?gtP00+E zU?9(U5T*i4prrLCslK;Xe$}8`$+*C6topf`SDl=w2})v+N-sA#k8uv!hA=8?W>jN~ zwpK=7z%}OlC^Lr_+5;6j&JwSsH20d<>|R z(BG|mZ5=9mqq2Ga%Aoy&^{KF_z#gD*+ZsHx>uBo&+S;j=IK`m`Xh`i2L3g!GoMoJL z&54={%ZnY18*3^q5eC!8-rR9pYIz-{%+kE_ftsaiWZeuluXcXZV-48W%DCyEzOr}p zvJMk{yD3(uXe!TKm@}rF)wevOS!Q_nQU&mRMRDx;O$iu%W-+4*AAxpopV`pcg-cOI zGoJ~Ec2Pv`W(w!vt(5OW%H06{y6PyHvK;cRrz$4R+xeb>4R(Ou0)sMx%Q>O5XP$6X z9P7&@e&7S3PuJfb8X>yY``@EY1r%o8bx}yajR)mg^N+f-zP*cZUDq1?bx>@ya zteN+TnG|(rh|M>dUFq)!47rZIO-X5+YLCnLdM{D z$a0wy`m(?aIJG#%P!zF+aH%u+;*_uP^*ZbhsMPrt#VZ;+}vUvmK8bZOX5XC8BR$2td3uh=X=ThFy9m)uo zFwAK)ovE=yZM2T5yK7!k-217+p+ud-f$^H^UL_coWz;Hx58Y>3q0@l}!3pV9 zW2_fT%7z-phANzcNb|E;!!3Qm%Z7=K7E4!^nsZ_oK3i{2U>=HXsA+G&X*1_oIf&^X z4QL-tTAK;)m(P@t*|ec@5hy3eLvew7aOXwVTYirH5_j^^&+x8XzMh7IXU%oYHqDJw0fKE6;N>%i|*0MVaBgd9{Dxru9Kvi_2*s zcFRkbb&MoGr6)bGI7%xG)EJ6Kl{wo9ORJ&L*B&|drWXcneRPle94VAm;OQyz8$x)|F4)6Z*!gLSGyicb4dS(&SdDGYz8yrrkHSmQDYNsUH{|O4IGLdNWJO zcE{}WE1H-lAY}baPs6J7IG&@!@Y(v%ugmk;;8*v9P>XpuGmBCX(~IS^Co?^3pa#gY3U|*hoJh#sYG4$F_rlKhusFpjuffRT@V)_*;{gVx@M2X=Uii?| zO&sUk_2KT#B_TtrSV9Ph=u6(AVpGJ<+Ex=sUvG z`u=U7`u*R&dkYZ*C@NwxH91MiqU`nsOs zb2!Q|IhnU1Pg7hOku?*Z3UwW}_4>6F$vu~wf~aQ%V9X_+!i|YO6X}{LoBPkS+jEZk z(AKW?(8qnda_GeDZLMqT&glGyOTT(T={MQNn|||Dbiw~xbKVPIdhH1p`hWk!PF9yY zOnsO){5UGQJlc%wFwa0{&VkL&s2H%!HB_{|*u`Vo8j+=U^m;lh^wt?rQo$Z=?a4o_ zu#Tmh+qW6>@S}9&eW0(KXj^ySF%4LcCW*|aPBpFeCgvj_Zn6y*b;HW$)tJ4upkgU~ zlydt03t~EB$KUSsvd`S{_RasA6wYnArQxpMT)A-8m%p`j-=AOh&C&fsKObTVRjMUq zD;gk&w)R?OmZa}ahzg*K%`P~tP&vyokkQu6(IuX%a@9ntb0hQXQNgJCO}kn!m>DqM zfs!AvoH&y7hk#Ep?z9ikTLjy+d3C~?@C4MDtezfvS)6Unx0?oUKd`vYY`mYZW}LA) zSYIB3#hHIR*gHJ0&h(+BWjg(Dxtv^`ZY7T=tv3_}YK`tIidld-ds8HT>}N zA8G%i0lW6+Jsx=&?)Zz-sKoJJQFj;8NLUJ4!kXrv-xLKD9krPpBw2SBMG6u>9sc6u zQoAe5U=AC>{RgN4+h?IgsRZX+0y=X;y|SY>k^u=J_|?x!^lzEqv|w|NI}n zY@b{;^aJ-dZ}_|4H@$4<&zO-go(11-W*e!OFGtih14yeaaWz<9XEaUF#hP%hWYN~O zpvm`s#R>g`G9*cRq2_%JXA_QAepVh{mwtZhqflk^cx-?*L5`_wMgket%Dmrn6bR_4 z5{Wi~+GYF&%m4fS4>tU+?Y~~RR9)kn{te%vvBzF8POtrFbMsb5wlt83_Fb0sYF+KsJ zszlYt=eQNj*4{jcIG9sH5mDO#y)=VkA8E0;O-#{x$W;!Fp1A*S>keJ{>qnlr^d|G0 z3qEn}U0?rD-$~E>qUVh-{?*nkpYP8*{b8Md>I+Egy=W$RD!6iobE?nup6DBJ_>BR{ zdx#(tL2<=d4eLGp1g2mw55OlggWB>VaIB=wDQmPb4KV!*=`vW_!9{xX+Ln<(g{-wy zNo@%0%6GQ)=Cc9Wx~CTGf6om~|NAv<_y7BuhktPUP1`s9{IVB(`cGD``*8fO>$iL! zW=hb3&wZNi>aOt;v|}hYg@w{55gDM7wIn+kTvVo@Bg6sI_b9N?88}tIjo|mIP9|Ln z0dUg_yNR&mg5WXb#ok76g`X2I7yw~nBaFc^+8b)DPx1pX4wB8TVmHed|9so8Hh<9# z-+J=vKmV5<_M&zDKY8Ro&fj|p>xWVJB%MQIq3J|ea7(y`i>o=?sKQ<>C*wYck`(lw6y|U+K^&_ugC^Qq^e~d1omVoYQQfVgRPERZI(blFk zixxzG7#R12>+?W+v49vK3xOY#m@7zFyM>NOS_o~Pc_}RrmAX1ueRPnsmQhtYr-{6P_?bm zIm<|iK|ppx!iB*Xm>t;=di=)0cIdH47rOtZSf7aHVmSRAmQ21VFp>z*2)XC?8 zTTfgvpKh|JoWw*Kx3?2>y0 zqJUyP!Qi7d6F$akg=9**=xbIrN711Tsr9qFb;?6)0sG%wZ}*s0Nqrq>#IVqk6GQ^6 zGEsJ@qtE-Q{zzJBY>+4`vvq+44 zl`PuYTQUM4L7d;XYSWgZF$Dc3Us$a{F7HYnofn(*`mR3&j>oUz9(nJZKxaHXA6N(? z>##nhE4JX$j-6%p%KGH4y#oVpIpy*4Hx@m=i5=IqLyt;e2G&Eae?m`yr`;Gx4(Nei z-o~CvA<{Jna`<|a6s^3#)yYZD;kHQ93agf9hR@-gorKD7B8jMoJ$Wt|bJ1A8i0?7L zE(?0V0dq>{+*_VCqy^p>@TQjR? znYEfy$*KnKo|(P8a3!2rI;Wow8Kgn$B8B z6wZCLb3LRMb&jW|)@|P^3UO2*XV{9p%^mn!f#^@=y1i@)FhhYO^j8<0SJ3Q(;QN zG+3SWu`5E9+5DB@&qVMSfl`4w$U5Oe$ASDmgz<`Xyoru3e&#C;efPcVw~ySg=KB{$ zZ+PkAc^&7>ul(m7pPRERI<4dE*d8yXfHxI(s=y9@RaI2M8K9r5lS}5-_?i_x9LH3q z(DE)UlOuG8atQncJBS*e186Is{}MOm* z?`O(1F{YPTo&b-V;IP;Xmo=k_XSMGT-_y$0;V~Wfh@J%E<4G2HI3thd59KPlt&%^B z{>T+izi&qG6VLzFsRxrc{^Ps;*UAt7{yQrkJn)`9?;d#IgMT>VLaGT8iDBPL8T3{} z&q5#O1wJiuEs1S`?p}^6IPQ+40uWbbOIgME0Jc6B*a+Fbbt7s}0VQ5Bn)^i9#J(OM zE7bZ2NV%F2A;$*o9jb$-bBmGuyKq>pGwk|^Ib6mS56#W2|7;_NdPP+deIH-^+wS-L z=P&BBm-yrO8=5r7s{ql2Ehi8d{;cc{xjGr6hJq`S^E{@&ShGH97Cu`!kMR^NHSd{ex& zuT~_FzGUcKb)UQ={lSNS`qq!#I<)9RSH67TS3gkR`$YG-znlHzH{3yj{_Bg)7QF)H z)IQTY0!v}{aPqRmEPlY4eK%0R>APbru!SaCNSCFZNi|SSz`Tk%c%mil-F`#n&sggG zP4lr8n0A@h(32^k)ReYfyk{w>$eIl=iU!>yy(ZC?AlRNB)~@z(wxGxRo%J?eyp|8p zF6Ui?@}BfBKHTF5zxzBssDOr|R<#>OAHQh4 zfr;ZLzR9Ckf`+4=P5LCoS5i$UudZfVT>)Nw&Oy_vu7S664Q6C;1&1}?9%bvg2Gt-Z}8!K6VJ8l^#6&3z;;Hk>2qb=(^P2m>UJf#DCV``a3t1qkJwGSmtQvQ&!wMJeSS0B9v$Cjrb%wMRCJuR`-iB+{!npL;Z~2SEgAF_WN)Q^9->+AOwKlJj(uguMla8ti)h(f{ixE?Z8MaCL%^q4{t)8WWU z7l8us!b5o;lWc(8mobc2QsHE2axG+pQ14LEH6YLBd{LtB-R4SJDj03eOEE@|aN##r z14=UZ?<3&ewY($W+>I*x8X{bV&$NIrtTi?nGy^oFt)p_C>(>(rvb)E&nL@Y8wY9T8 z9y>GE`lb?I~PkwvJ z-<;C?)a|dHd_>_l7+7t26NdBUyc=sbgRZKrjkA>7J-N0{Ajk%rBeivyL3|i>b$|&w zzHq}Z@uGAjTcDN~^XZ$!>inn@EB>@M*?Bm(uXuC4abHA$yBnRlrD@^TJ#~E@8M~=> z3H3bsqAkl&VgvbP%_I9$=MT*=$xr4A613CAe3J>IgqZ~n#YPu}Z(Ha1U4&52Fx zc4Pi#L)83Ok#eJSOy{Z{W(fXF-}^gF>4h3eFkiaNXQpx`3oka^Y%GBSz30go^*lB$=~TIROLR512LVMNEsWa_wi8Nm&nZhIoZihV_7=m?Vj3t~D&X_Y z?WOh>wI7SNc8noY7u}YS(ayRBY2t71Oyl)+E~hottm&?Ae%^xT9Xz}HoPYYDCRX%K zkI<}{qhRLW&0y~HAms^LXlu$#~+_-8@=jY1f-#kED*T4or94rmWWszR|1t3KZRpgtW_%aArP!*i+poQ22xTOWf zkZH1*2HZt#EC9FZ(1mo5l12VUgHKmleEr0b*zu(J^o-DMPg|*9JmwJ998=yE-3lWL z{Kn4dp~kV?IfwETMd&+jazwdIwwu}NG`758t78Tbecq)J5)5_JCotBfIYHXK*6;fe zl|EBM5*wbTx{!j3hE^6??v9^c>shg!vE~i%j?rSwCA{zR=D0vHQ_~Rjat~A_isuKF ztz2F14acdIe~iDv@DGs3w^DPOoNUkbgGE6XG@GaBT*)B%?gPT#l-EvC$j5Pk$T2Tj zx)7}|mPT{|0()^0(YRk7Bu|%d|BzOrZ@Oa zd9lP%pNqCu+A@7zR9=l^3-#MNQ>nL0D=$mBqt2XBI6fYbg~?}8BJ-7i&AWcgO`L=A zL9TX=IWcC-Qe0Z>tnb37Yb+a_ue{1=%k7wLoyxYB9o$7BRJAo*Vj|Oowl0LSSiv$O z`?JP0J~%%bh1}FoVRq~WPSNT`TSpSReYuG%v+I)VqL=tM={B$U&B@+8b6vh#=rKs= zc{3GN<;wxI_2oz&)rI4%;$T}hsIEv``>%t;%3@4j^m7r zdVDLNKTkaeuRsJf-tBJTqaSN8YH>#Sb#OkFAvA@GqUvOH!-?`T(z1Wo3IlXtqtCMX~T(H;Y?d&RaM8C zmTs5zY<=%fT!rRp(bm}+BUy^J&YCyf>?cvgw&q9`jnEf#4@OTCR(edi$zl3QV?%kN z)qu6m98{AK>|0D}oZi%*FgX+2O74BEYloTFJSVoLT@_=VZs7FEQ;=3hr=ZO5AIRZ9 zEFR(gqwzc*7hqd6;B?pXM({8ZJjYqxt2x-w$Xr%zhGTO>=qdV32Vho>VS={fDeF-( z1O*t;m8|r)bf+ibbOd<$=~CvWxA>WBMcyQAgp=2lFjw7wUVs?)7pu!OmSi3GIB}Q_ z-W70n@dI2q@hW#uf3@N@R#YcsDt(kyMTru1!K4*i1x&7dT!M)lh96oE60@JoRc1qT!7W(=2AcXX&1>}oeX*iIp_^3q|XKN za!v)(Z?Ge3abw^G!_wiAq85TEv>TQH;{$YV1O;6j@*wzByL~Gnx<;b#+aGx5;PBxAVLe~We!A?9CKB3RDfs)sxqzG~@ zqOQ||3%OH7K+_oFvmpQlc7;K+;LYlQugN;*KPanuJVLLMeS&Cog?9^IZM#iE(E_Zjj^r$+KBR=#|+h> zxpBe&U*6sZNUrO;?>z7Io591dMZN)s(10e$JA)xTfS!PG}KNSUj^DOtKR7(zpul1C&hgSIPfL39>MXa|x%D(khAM}n{+7o)F2a7i3nTiVgqd|Vm#RA6v?A_r}q-wx9) zXtqVPHHGI>sWlD}>Yp>Gz3go!_T6CL51ek%nt3ttUV^N=G?bT&tqrB9N6E=b_rY7U zTzh-b)}1U7vLBqi-8c-yw))dIJ7#{9sI4Q<_}wA4G3{nA65Sy-x~yqy7g<(g>n}#7 z9LLtpyY|{Lmqt@7^jTT^J7*gUrasHuzTFeepHU&%|DC6UXD72Ax-lktKiPzkSuf2xx0F?;f3=(%71r@Vq=o3pDkD@f3uNvGw%Gu?MZy-Z>l69iypT$Qjs==UK`v zvQN$BbM2je^U>|22XpoEXcU6ZYfC-OkL|BMP8V5S^)WnReB*A*ud*9?oOpV>xM^w5 z-&ylYKq}F;W@g*dUrT)2sJVa>JR`UyOZua{vWS}gN)2Lfi@{?GIY z2HB?g$r8cy%&<7cc!6IWTTf$PqiX?TN{A(XQsWhUt^0c#$ZS3JHTO%$c`!f zAR^Rj0aXKT6q$L=N9r<5w6lJJpYknAY>>o$AR+jaM;m(=6w(-v;4zTzdRb^g1;nLb zW&=iP4?=XQdY2gI`Gv{KtGFOl)OW&j0m@-{m~6uoSV;4e2{nE>q!Y4xiMvmxL6B-V;iiY} zB?QSNFnyt6uXZ%EpFHKvxND?{l zs|p#0evoQxeejUc1Nc*$I`tNxtS5VN@zmHlWw=@B#;ciC(pMY{eV0A*R}6^Hmq)n} zl$_&xbd0Tcvp?ack=R^q-xfe*^jSchs0T8Ku`Bhjh})3i=it{LDB%7?tsROTwscmc z%1*X4Yo{5NI`?XOO5BED{2yknfghBHPr7j~u3Mj%!^fRv?mk+GIJSP9mB{CZJK7rd zo4nQA>l7l|+Kx@Q>)N%=P1`Xa(hIiuD4NxY^HVMXk+XGUd^J6HoaMe%CR7wm?3Z@L zjrOZP?x8rapdMQLeGt(uJ^WYf5DDp=h2N7^zpT8Q*R?=XM66q%^sHMeA2U)eD;vqd z1o^C?OG1ypw5)|Ru3KLukJpt+j~6i21=}T-9#B-od|nRnP{?2$57Vn)+Mgn6*%xKI zW*{J?B_aTlcErYJiM!5EMCs*zZJ?68(%``aK;Y$(0J?|;%rhXSqDw{7RbYeEb;u?nPX`ZL?!e390+BRHD@~olo**u7 zr@*ffMC#0Z{8x5hlEmDPLrhM}k_C}WRY^^hTh@UpvY|l5J?$O0MKKmq-p00mMam=# zmN?|OG4bp~u`1&`IUiFRr+!cvN9yQ3(FbrI!jd1iXQi6>XH7I0OQs7g@Q!jtgDh8v z1eC_O)ka~_mD1KlDxT8T-pNs9%4E+^;N!FR$Ur(dGV<$0OE`RVIixIx=VMVziBANj z%voQVul}TkVQoIkska3+8EBjgw2DzCuO3pFIhEH6S(Q4G4T8AB?o3{%BW<9XV8~%O z!@DU*a+pqO2fC6wd$%oa(AMc0!adGqG9)I5E1b;z{EE72}~cI)>ty*>1vV;K+bbSgIwZ_lRfce?QvhOo+qEoE$-IgQJ^TgT!WGxmcp1rZWv!n5V;DI&wc zSGo=bk*Y>-WQW70u);(n-;bZyT6nX@S^CC_pzkyUWzzhE@Jx=T`^XYU0*1v)y9E{qd)Pc(`Rlgl3wl?|Eqo@7t@#MNPZ(RL*$D>dHEF}@vP;f>s`QZSgW&`UWaXyZeH+r(xy*uHW>f0s zgmw~Q3sUaNws0h1RGEHF;D@)6XvYtv^YJrG-(|ec7p0uEwSVMoMb;u}v2<&fS=^GK z0ueJwNp?TKV?&-Rn3ECPfyTbz^Rjh3MkaY`YKk+iRu9`ZHSOH^c#5|62@_7zhEe4^ zjQt@?!nQg)yqH~Aa=T2IS@=7%lk!$`dfFJZfYIHQNut5vW}unh7f$$1)QXGTczkga zmAUb>Mfn;Flv=xm&K7s-xri_j zf+al^>R=F0rKGDoYzgb5(hgv<%n~;R0eyiDBc#{@Ly_lM@ZQlRrwNS;n$;(YQ!}3i zkvLosY{)apDvEWa&A}o%O{x#Q!v7)V6OObm*o)IkEVc$7si`6oIPqI504_?X5lBX4p+(x@b2=enRUQ(EP z1QYZ~g&YK$jKTj2ntzoY$rBXp1TqOG;q6uQhs?1xrjjXQdXM7e7xw&bH~sI7^)|vO z6=VU+MSA(z($Mj6URFUT8ZyS}AOmoNJ+oe0`|J$(YHS^MnZ++Dpsm~KX=`hKFqSJd zX@N9aapKVzGHz#NM)ODgX*XcecnW*^yi z_nM1kaj6b%?PGI%kXwt<)&S?E&JhrQEFgvwi96a_J1+zdEZTa**m_wTy)V($CT(qx zXej-Ge`5>ix*!EHF9r6x81;vyLt9rTeZN!`#V^d;9NJo%)$X?IlD&V$?Bkcnh^@h< zf>_Pzu^#@z`#y4ENAX)EQ5P8f-fn!G5WB95KL6502%7pBCQ=h@&|je@bB6}OuHvfU zW+N!l1VdCBMBKhSgUTnR{ITs!YMjf*iyhQ|VEdsQgiY*ykqU>lE~X!QD6_BCYtA2{ zGkVu)`5p+lF}8NhuFsa|_Rqbp%Pj8PQ}=-&{81-uT`YdX*|rzolbI8@_>iFsyWV}r zZ+>OZAFG^I;FB=Pl-BVBEFa)fUy9l~#LQsoBcyR6L3s~%r|UzLEc$fu$s^;)?IJ^H zoY@m@7pNVr@kh4M;ad|OOHfENO=`Q8e3liOJIU}z`ld<)WSd-p!;HL&~-N#MA2;@4&$#~ z-yn(5mxH?gZTV_T58xqSwp|+Dj=ROwoUBTL!d;o$>p%A3cfx(QzdzEgJ=V8Q`H*aQ z-^>`P8e6xJFHv^yuEy4D9=##~c5Do{w%mzrM+}-C%pgMGJtTx&BIz<_8^Q&{An-k& zvt+HPXZ3XOqHi+qI_XG(jf62s%v zIn877nI;v3;*~9a6?%3TI9^L1p!$)#8pBmV^Iil0l-uza_8ir14Tcpi8P*BD)R;3J z2_k^ZPskvg(sBle_>Z{4nIJMXv)rGP+ArA)g$TC0h>Y?0L~UQ zGp|e#5PJ`Uz#Ev*G;k0TY4S!aIC%_5*e>|DpLx$O-+JV9*IvCFdMRSkq(QG(dt_ib z&8)?5{Q%C*h49KhQJetr}6;XZ_Q9X@?t zvkC3QG-K>Y3mxK7vGxUlu^y*hq1(4E*5UhhAVA-lx~psXzQ6C&)DCH zyUeIj)lB#+C@%Jd1NruCmYi)+o?jf*On8y!k8R5q&OT@M=%Fn5yLS4K#U87x8?HN1 zTeht`EIa>orrbHGHT9l7BkD#7>K}T=hRUx8D);yEHR=!5f;qXbhdW>ThaY~lk7e{I>9wvKZRDhk!u`lB6=3if_l1a(c?r@3Kz$1zJU z6j|2#Bi9o0sqr*vle1wvUqU;_4PQB36W=^Xi}u7ZpN;WZj&+iBxL)?kO-IXG3RaU4aN89)uKxc2`E$43@Zqq5GrP=E{}~sjh<2T) zl_*a=;H#PNSJ+;`*q@p3=)-FkiH0QQnZ__NEMr^Y7cgsCuhL(#05LlA zgvLyLl$8*tvvF~fI^ik09(Yu#jS!h8o&#?i!=c^5tNmY(h##M|hO;clA1S&{l8&EC zU;A*V!=xp|5I?3gDh)GI1i7^(v=GyQY?#1s3HXb@{;kt9JGe5w1CGz4#PyQ4!=;8K zD?~d+xCW&N9e^q-H{zlV?Hz~#$*l5Tpv{BgF&2W{yvhbU3yHX=9D(o&E+gLK zl9EP_sRt4E1s1%en#Yi=EjhNgNciC4rPy{w^JJ8}BuA_RtDElq%l&tM%7|SBAE#(Y zoVr)6w!yQ>G)RzqgrowGe2^?FDwebjNTBb6?!j2qjTQP5@x4|iCDXsAt1&)5=T@1SnYQ)li(PN04I&s=1-A?MiR?ir{%hiwuHd zjtl_^Ox3D_P!;>GRd0Xs)B8XB=eAa@E2PT%PJZ(0`E7(A8;5(3J7$F@H)?Y=a`GaL zGB^x?7)wykFCwB#Z0=HUaS$d{KX4##<2TJODD~7rx)$uz`v;m$5C!Zsz2oF^39N3i zfBGwLd^(0*p=!L;K+!tWF5*>fnDabn#N!ywgN=GnK?Fr2CPNIeg$G>xoVR@9wbbjT z<5`F*lH4XhAg+eNv=*8X4v}=WBK7gy3oQCVdz4YjQPkyl2J1|EDRJyhP{>J~a7G{r zN4WuUIj`)kPrq_5Sy!#`S(p!@v!NXyOX}38>_y^a5{wYFVi|a=(TvH9S?&?q6tkC! zrz5qH!fMl;%|s-cTghlyDK^-XDcX?e(j`rL<5tjDgJAF>gJFf~M+g_WnmECBSRq?z z!WSi|Ed^DRF60_~%Iw$v@3+=h>mZ(z2}2Kxw!{2#X3Rx)9Tlj`77ge+xadnwT&D3D zf<_!oLTBf&iJaL-olnr0$O8KvNT_jK7&Te?6zDdyZ1m0tLH0O9p=m~Sj=pZw-1Fuc zs!>eL{yF>!GatD?3KUy$`3WWcCEzc<^}gS(T~e(Nvz<3QL99#qx^4^d?t!n`p0bW% zRmJ|@{BTOkqcJ&qY~z@OWya(#(xP|opjJ1DwP&p`-Zabx8Xvw*T>VF3au+u=K7WAN zGiqAumYg2P%MIrk3VD41sPWa)W+$J?%4P1ubx&%h9$-eZpr_xq13&A-Inq15lm*q= zzW&zwYE9r=yzCD#Cp>v^)B;CtGj=1dw&ax=P2XG18xD4-_DUHFUdRYot=K_rt#6&+ zmf*k@g~Pe~@`M+5<-p|QTv1rq%g2|UHXSFQe`3;>&J`1lgU5;o-5yuXQ;4plvh`?fpY(+~us(XCRM58>1`&E*VYW>#x?2>8?^?AIVm!$2EUwB47q+sPzrOB9V z=81U+2*){@2|hN7UKY5?!O6^9Dh5wxuT9F(C4CS}kn;d&dgvJ4aHtWU@amn($tQBn z*ix@!gbN>Em$6f|zPPbkhZ%TEPIy}e-)i{hFi2veG89peNI{QyLe|%NBNUw&q)lz$ zOv{W8`ec5}iXb%G3d5|9lER&yexAULYjz=BOua6*oXisi*dN+Ybnqh1-H^>`j@{`R z$a%B&GhmrY7;0rmmnM#|E(NOfr#X_g)(Nljg=iOv6LAhtV&^ev4BZYYJg}oy^P7>3 zJ+`!ho#kD@&U9c|6@5^T}dUfTMkw6#42IokT(l}Om{kvLM0$lk$f;su79?gd| z&5;>OgrgQDVDo!s(qT3fO<&4Q)%w;;s8 z><8YN*{Rc6IQ6xRt2`QHHm;T})#>%vKSK-U2{A)kQ_?O0RqHp_RqFuBa>iZT%SncyAHzR-3Eh(1o~{b_Y}Xbv{#c@Hj2oX|4v5m zAoYv&t@-QpFA}c*&uYbok7kR^6WYOJknYK1e=gEq^#Sp1Dsq1~lGz_W=SmM{vgd&; z4s}^NasS7=Z0V1mvnS_3+_d$fE5w>={g!R4*49vIm6-Xb(q&zcvH8RURP#41EMK4y z>s0RTq{m4}a&pP-g|r7{&Hq+we~z}E&6D$^vqkuv`)77+RQ%A^`A*8>@$~p;`mVBG zOlbzw*_qk=y6J7N@#%~Fgco6dNJNsSzhUm}&3YtfgXeWEdD=pwllmX_*4#Vf<$$(! zB-158wGJDrb?64`6aQoMOYh-|aBk7kmO75ZZ?kt&(p_A~$EzxsD)Tzv+C6MeF5*;` zdkl~{Uix{x<#mK#MTQ?LB_Pjz!CC!>M1VxDOBf`>RJ5fF#Cx)fxKy~xUDcZ6ykTtJ zq9G3Ow42tiEbb;8&6YgLFYrsr

*7GD8%Hdz!$7XatX?Ep7n9I3au&5lLN#wDgf^ z(8C8Ob`UG7w2A!YF&>0F3q9&iYHpUs9r!Q^5nKyY5s4)uCOuxglSkwuM@GF@7N!;m zCW{CmvG%g}MK2o~2?{qCjUZVdOg%Do5cUu*VHQ&9?}Wiy*m!OuSGyD}Thdhd$yxGT2Ng?zW#CNzw}{ zI&5hsuDX$H&s9<8C zvGwvfk{mtd!Ao1ug4kTV-%26u(T@@w+PXkn2aT;)DmHzdQ_cmUf9vk4!>3MDoNjwp zW_xe4R*QfVj%tj3zeP2k4duR$w)Ud#3m)uB`EKqsz;NoVBpmH%>w_OB!&9`iPUVKh zaudb!y+rt8;;fcu#J>WxwR#{4F(~XH#b)C4T=tHyh@Gvh9B@+O`=$Gexa?Vcn4RK8 zKR-Ck`ox~>SjDB!)9+-qf~peq`Q1ONXAS?6t%?1~hhiCZ<328-xj%g_8S&z>^&x+6 zA8r+DjF-A5v7o7!g|^Q5Vg$wI4TAc%w7RK{SI$>nt7lmYWota3t&iEz z`DDTtxINK!F9^HhHw9DAu|W4FaoMx=5H4pHGn?KvrL#FPbwt|-mQ=0hli#EwH+QFI znlx)a8oT^zZd8x?R0ezEFz{uB!0TGz{w@(JO=D}F9g?{cV zL@EI)n08%LpZ5luHiRm^aUdj&$0890$voAzGIpK`as-DZg~m|?10>iiY4LJ0g7|9A z%(aJCeG-aUT%?A=VFWGn6M&sdI&^;FQ(vg*G|1{AGP#sKtuzGTIqQWOAG`H zF?&TCQg2CN+e+s^^#@I(M_BUI6^AhpsyB7@II$?RBzBpCz!u~_2s$hwt4vwUUdiX_ z+)~29C@BL!4|mIW^Xh@4$hA{O5+F4$$xERKUWi%f=|RvK;Wm#Whm$FnH&$bHzB2@_ zFO;d@g*UI&!r}Oiv1Ug?p! zvBzR~ccd-t(G*QPyiA=@S@Lu9mep303l{j;1d+afGR9m~R|OBo#eWRsF2?8SW9?z> zDQrsO*g9FA@SM)b6^(;d{)~tOP$MyiR#Iu}ID~$lu1Potjyw@aTRW+7)PZ{y^9W;W z=Eg}Ev~^3`Iz+<0kF+S^(Eh+Xm)P@yiNGxX>6xlgbiu~lbitrIvtPf?Tm1%eF}M1% z1bJTNS8>w7nVE2Bx|c(zP!ndtD+N|=vey)qo{sBQ2bBb7_idrR)f;2$JkBsnFo3c3 z@-k!VfY+3kKQvaJ;0T2F;2b;#vpq$KbbTMg-X!D$noSDq>>1`9U{wtf$?OozClnPW)Rw#pj4wv>!b;<;1$ynw|$Ll+-rlcG? zJ*#(M56jT&9VH8#N&`wr2SUUTXw#|Wh< z0r()I%yMSN)KSg%Q-mDl&4Wv4$s%8y7D*rQ9b8Z<(fA@{=s0OT6gbGwA(~>d*pjv; zNyTZ57Ce@*RIPs%qB3 z6uETs3NkI;fmMRZ{aPTO!KWtCowCcpzT=muh*9Ekh=~Aql0}3SBo&1Zs9?S;;|rKP z4+Q`!XF%jJJ}36nKwCof3bO=jiW4q%rf^?z~8IJtCf?L2rjeJB-bx>^~m`%~5 zN64U<3S<~A`UEhfxWpkm4t;<-10a+<;hnr0UFqbJvo?loSY5Vw$jR=+AbGAKAw{(w zKZM(nLkB$3*7IF$ zJ>0G_E*S(_HHr?@j1adNw@CBwEPBdZ&QLJWahqXmZBR(S>}42cJ#;)a?~bjdtv%DnngZN=2yY+)4Sg2(ood~BzN4*+ z7tz*(Ay30HRDpTbghzggOP_Id1Vj5Z4I--Xwko}vfI;8_6j{c+sT1kK z&mz~IHr2vW>(&m5?Nuu@*<-baiopW&>|H=^&)AwFG-I@bG^dUkRW0^98i>yyNbET4 z)>_XE?~xbA*2Ri$Xgv53gS0(q6=s*&72kt$x#R2Jr(VO421;kRI%VQGy$pmfPPR^{@jnl_6da9F_sj&2e=0p;D_~!1gxwb2r z!yzc*`>J-tCqm#5S514=S2d6!7PdLbX=0-z!Oe_(laMbbr-x1J)={DJjPOlgmG6!< zTR>sN#ewJ$(;=Fs*e(roF%onWN*|hy6~ndU90Q7mt2|mX_#IR-h5<_ze#0Dnl*soH zidPi?@^%Bd3ct#^rI$1FddFuVDONDAJc)eExcMfwoB|Pp8^fV1hg>oTHVUs!he(a~ zMNW=KH&zU~i=x(Z>5A5Ui}K14y!3I5Eq5oHr)=gy3FoMZTOMCgnm7>E40x3A8mhJU zgkkDzguvjTj@RInb}&b?Bp#C47!d|zI6F-wR8Uda(I)3@v(#%zJ+**;p}CRbJ6`F4 zU{PBKM(F8mBn`9V6L~i+!hZ0y#`I=werXmgWnaAPmMD$Qmx1TWEUpz}0)>DBKxq`^ zjEt~2eos(`Igb=Ko~>+=#3Bp)EYrg>*?Uk}sY*rzP^J0P7@68|4w>X@vZ7Q7fntJS zB1Pd6OvSGvxbibtV_05ANf~raEU5wz%<&V_gWwe*s2W@ggdS0BT2dMXGDr|2)5^T| z?8HOCUrfDb!nK(!leTtf>$~g-t;$%|{SYo5b?W$rY<3!LO>>9CQ~c1@&93}w%r7xD zeRP=MnMx_w%9qwMbFq~FG}{J3Inn0l2d8A>Oke8G<3YqyYZ14wJENnmwad)Jwn~B{ zn4&pZgfTHC1M*M0cvZB<*1cV3vs(YepFaeN5aaa0HLpCZb?fffn(|aLkG(%^PFewq zR8{ajr+NHOw-!UhyU`+VNM-3$hqi7t8oCK4p8diP-Yc>Cmsm7`WW+`r`$_6h6)D`ewDvP@ zx(~&C{_{2zK1Xx6ny9>u`iIlUv-|E+q=7vQP+Pyp=jYErI{k%$b?YotsEEJv!)L{0 z;48fmn!BmlcdQlgC2c`5a)hyU*L5(<*u@T0@T6+G$XLa=Udv~Av2IP8_JF8pCcIkn z=}@zn-@``(k*#1|cOi6~LTq#+MOU?`i{Bqwme5`BfzmZ8r7W?cFDG-U4Clz1o)yrd zc8ynid$X2sF)zuyp3Jy8AyhcyY#FvNbJMomF z%;~3yDpx!|g4ID)85?T}Ad`xgCvCFSJ9m=}Z(hV9@JD|=fT%Je3Wn-mnCp_FzzAs& z+}?sHO_oJR8n<|+9bTmU<|IT!c+lxh;&%&rv|jz8dHx{j^>cbBHW8c>UT%4gmxWA-ntDqLW*kW4s9xzII!#( zRl2xis-vw-Y3n!>UOVE+V(V#Z1f|izP1^cx($)zI%(ZK4Uq0+{ywu>i2c3`konm^( z;@H}CngX_m`*Cw5Iw^jkn<13U8zu63@PF?=|H}Wo%f6=3LtV8g5IkMZ(LxMEcIRnZ(f;jNid$nN{y-xI;S6o<)m-@JX1!vt5yC&(_nr5welXUN zE(UE~jjbEF1Zf=2gtwG<`-t`E8@QH?xHV1S&Y>T)f~@MGxM-IdS5ML3*vWudyP!`$ z7pIbJfgKMASU;)nsXpDU@5D2<#)XY>{@}5Mnee)?bUFxpa_7&pqeF4}dc8LN@po-E z`***P){k$Wu^VYQK%lK_&Wq8Wom}56!+JRU?|%EWul|=We{8*5<3PO~Rk6B>n&y6R z-_BMZXTmSIELR%GX@9hJ%4B)r-?QD}rh?UEDJX1f9rrEvgeODiIf)WJXVb{xCcI_- zVb@OXn}ks5f4|1o!x>|1Qf!)~K7S|aM#c?up! zQO}rd+hf;V#`4j{zx-c*`W@e4Rc#Hz6y{Z>n1nmRK}Sm7A8IDtPG<-x(9$*}x{)gn zvHVA8qMmvuBV?~DG!=u41SxEKjyQ#=O+{el;#1?+Dy~X4)ztw|#es4d2VNmk(@+Pv zw?mSEPSc*Y7SCGcV~uT?zVFc=dEkAI*4CV&$;BESjh@>;NWGecI07WJ2suyhc|=*G zlOF1$OcEh2r0OJ)dHvF$oA}){<^+Tw%qrH9>Wh0-;^;kbsf4&~1O=?QE5hAQL@OZu zzcsDqvdCsZ=#yU+3?a7fT6*t?9{Z>7K5^oAdv*+-UpA~Kf(NC(Bys$dfoeArFALnG znv51X2r7ypnB;jo^`1@>DwRmuguEunesVqFHIA(tn=N>>b?!t;Yf@c50`}^VdT?30 zq?jW0H?nB;7{%N`EPz-WsA?2mTZHh7dU<`S(L<{ zA1fGDIS9O=6vX6@COs%!a;|pFPb*Ue6Vld}w6*^!<^)Xr0xl;d_HFQ{_HPLy?GJ@} zOrvp{RdW^`FNNk(N0o+WM3@j*nCJ`P^2Ti9ZGZLDe>pQt5$?h~21TrbXDZ{p*{RC@ zP!-KCy7S)P7-zyK8Cyqft(ox5j!ZaaOnNinh%=8&Ze+vQx@c3+3JKmHnt7$I*$#Vw zO6zFHm^ew?`3cLC*+nE?lo}VK6{glV2v>3bp@045FTDL%&aVr~OIvGx5GY(gwlT)m zE4<^L@G@Oh65Wsyl^M0z7C%BFY5_S=NL$xBW9z17!kzY4Ezz7GB0;OMwUH(wMO(+Q z_2&=RytMVTKq!|JKCcxl(S&yx)tv30$8!?SIC6IF+40(Wu2+2%E2eYGe2 zx`j}GXLlxir1}`)W=mHNaD@#s;htp8#ND=jIP=Gu2``xm*S(!#-LOpfD?td2kEK-= z{Q7g;^Q_MiBk|_n-ag?A)}bWvIeoE`{IKu)QsL=FZG^jrpPc!>e*cFyxHq0p?c{%C z1gLfEcz4%6<~P(NgbPi}=H9n#jsI`RPW&p}kyk!NntY>Eh6plO-nX=W@V*RfeSpr& z_1-H?NACL1PzFKBKF8BDwk}TCnfjGaj`|sjAGKBRLrHV zr{xh+zD#7^Lz<*S2??Fd;sIiD2EWn8;i8Q3ASe!c_J;tmwtM+X6?BOGPO76A6Oame z1t_q5FD@J4;`>&A^PhZqJLj2tkb4=2gB@3ikX>s#cHvNmHddOlVJ#jDv5nU zu^e%lOG$g2OMM^mdR;rg-OHiIL2Ev?YO7qBDX+Ta;;=gJwc=(Ft8!_HSft;B%d)5j z!1wAlaT&7@VvewpCO-(6KpA&b;rlO{H@^YzZ)ome>>oO%NPIg^?$v-TL0}Bnt2#F ze)sYV{A`Y2BU2VI)W~QGCNT60N6#QMZDO1;mANmdGuBXz8{!Ag3aD|)SOTm1__5G- zaO+1-CKOpkt9b{1yoeYsXua?~8hXFjllR{@y{9SAraqQIyUx@%fU|y*B?Cmvl-1;&0;DUafp9_yR-uBj1c2W6Ad?&w3mQ4d-DmV z3To@!k&~L+0ZGWQ_lY1o65FJ>ByB|lk*g1=7%{-L2Og6TI2zsiMr`V<(3JzNwWbe( zd`?^lC0#MZ{$2$!0KD+S{HBKNSjjueg*f91QVi}^xo_}ubhr+0h#ZcbwSFskDV{!- zZ4EAM_7W!?b2d#fl3#bf0JZdobbk^%6>QC7ZGg1#85d25f`%Un?ixSWdUjy^#w zbz?2S^}Lel0LBaa{3agv!PfB}1Vf*<1e$y$Tu%|3lv%JN&?u;zVxu4;aIUv1gO#=O zq*~v~23IA^8XUqHK4^g~HCtT>y8hqdX32Ai*BT~r%ooLl+OnSL(|TRMeyLtxT4U1tzj+o00w{`-aBPw3#ycv#av&f4_NA- zIBsr=JuW0i4TLAU)i?J*ZUn0J>R+#~)^K=^=|;cGXoG+4l=b>-{d25trjC4@d zapy4e-1aZ(zWVS;GpRAHq#%pw`9??xr42 z`9Sb!>JKeL`arPJw8QD@ucG$rw^vJ-<+*uFxT4TnFW+PBmnMoZK{3ZFgpthF*yAr} zgwvbv#yLt5(YPpF$yv&QyqI+NssCf<=HFJ>-lxXdcvYiovSXeRSdrSF?G<4MwB7a? zrKkPCoGug&n=cee$t2^;$dEk6qs=TWv?0=u9LnZ%j@ffXVd8Y5@M3iC|H#u<5~Kl= zGjoCO-KJi93)7z=${iRwL+C)O^_6V8Wap1idGN%<)MqE0CYi zG520xP9p4PXOL&d&+^bkv}H9AuHGeGj!rz(B^U&+h|Y-v#9ewP?&+ch5WeT?V>r-n z0ID_Tt=DPmSS=_SmU;PRV~@>Z3Md=uIDQxSNn&&Sd?(CaQb6H@g)AEin|Dn^u_EJU03w((ue?dw%1|5#*AQzaGAhu=J0f^Jhpg_r zi{fkUK8D&N#H>ptq6n(iZ)~X6T}<`%dif*_IT=1g@=S`o248Iu7z0NAVj#Q}u8!PF zDWk31*%^{9Y_j8?S;r?RkRVhObGyi$2ec7EbDYxFPP>HnI4pgkur2nIEfO6%V^Yex zP#DOKCbU!I6A&)Jv4ytQdm~V--@>KQb4@#@pdRY)XndciyU^AFYhmYjpslr)B<|ga zdyz(_#5eHkwE7&m4NUsQkM(%2|M#=2-%DyFa|efw8zK-_9yB3W&TZlp&S|)!t=%6W zh6ghU!rt2v0}dXgyqWLaW;CnbeS%__yVpQ)BUjyCRH@;6_STKk8e6J0x1(&R)?PW` zbwP#>)T%*WA$y)14z7rIQlYK2x*6g^t@a|FLRduui2Fn1Ck;@_@k6-jU3>FV#a%LN zzn%MA8aC6yoKY6Mf5$N+T_9ziFum)6YdQ1~jLv|KO0csW2&(bD+p!6R48NGz5|V)M zub$&x(2N)y-lMkV67W=OZKFYgF2v8Cs&*BnoHX-0sdZJBF7s!u4_ay-wG+yo9Za=c zAjVIX4=6 zM&%NC2W)W$RgPDOyo@-fC&7m9G_dUXcH7d;Tc?nmdAVN#msRTuAJ&m&iN{8k(sROq z^btbmjWZC%=k1AWMsQB$d4XSST^xq&#mt1zI2447UL@HRE-TOCs@(6fm-&hiD%X6H zkX%w(s?gKm8@74XDNGP@Y#y%Cz9Jj+>_#F)DWW*3uNW-jSEH>Zhe6yGa*$b|$ObLf zu~wHj^Fm7_5vTlYU>3lGn80dU<9!@v99yRe5-GJTT_G9zLt%a&6zAdT=LxHArPx1L zYVHDl+Pa0d4&G80p%_~`33zP~i#epRr%6=ufJJFIOHvXQkIg0#Ra4SLvHJ0fhTIN- zqMeiXaTkYtqJhmOYsGXbz}Rd2yfbGru%r_p)jkLc=ZS_F87##m$Q)te!Cn;8V5kd_ zd=9%pUi~Su%ZL(|&MKKJMmlroM5Q3J+o}v!T1b`~5|6ev#@1G#tt~x6V+d-|e0%W; zhB6>Z>|=H=^OmSL_A)zJoIdCN5g)J)fGz4SRH`=h(hYAeq)Gd%y`Jt!_cEjMPIFcv z{ykrEKUs4gnrj2D4T$lKE#(K$)>(Q4hjTRxZ~x*~K*V{kRsh*nT<+epPB`pW1dkMIfqUnR z$KpEeJs}Iqo@cIiHvdr!Cu&2sWd}EsF><#0>I6<5(C(bwNj|bN?Ct2?7QYVRg;R_6 zEiMySA<-gGfMNnGkt;ZvdP&+JmhI6l!M#jCS?%K6Jtw1x@3RY36g7!e70}za?yL%y zEPbI0n6Y&VPkqHA@FCpj<0d|>XA1`=Qnam6m4i348*xd36w zLP%|9K2V)hIdAH$lUcGMqy!Pd0+j#n34^cuR>-a8D8_H;$k6^u4PEjra0{#ghb z$e)c4aC(w6xuF}U=y;Wfi|!QO_N$l_LW`0bqY{Q}Hs7V;JQM8=^mMVGm{FwcQpQeP z(ggqfOcs|E(@^;Xm{p+-Um+?8B?%=o)(rH)Ajs?i@f0i*-F7tbXk_-d3KD#ENn-_b z^pNH{=BvMam7hI=HYuu-mvmYn7uT&&wRzg^T=e`u>yE`Ha5DDzByVYRkDM5SbbqPVnoBP zwsy@s8T$fWd?cU^YbP|vO4gLTY1WQlItQn&w$^utLWwR;R+$(gK7y#Nv-c+K&LMK$ z!yqj%v&gBW(@t5MeHdwMjY~Fpai=vo_3BAs2VwwZGsK1hD6-nER_GJ+0dx4oc(63s zM^&qpG!)k@<@H-l$uJn;Iqg>;rsTdaHBE{90@}LT7L2w|9z#-TYhAVJHMZ9LaaZ03 zp$FnLO`O8qD*hOt&ljuY0vSRo=sQ1t2Kwu?Noi*{UF0H#86<9BSS4RNak5g{y1u$O zZXj6v#RF*=jrTo=MOb8t?cqHso#<--Rnw6_`mf4Jcq)4wW9tO@X82eSS9@oP?b{jH z1U-|5Z!_@8erqYFJ~AtSczb+{4Ll=h^@ST;N%kb=(o%iXLKiQSRNA0+U5bnL`lyJ? z)$C6xTU%*yqN(dKiW3LTiVxFU0Hf2C2(6Huie3d7Za_8Kh(>Hq zPJO|rvypL^QGjRHcbbmhywqcYtDAvWHKW##X_aiEU^dl)`KrOezeYn{@Le)rxh!?< z799w#t#~qK7CwIO46UVoEz+ZLh{npTQb44nrW0KtHqju<)giMFDB4b*X=Oud^p`|l z1)D`g$8K}<)d=Pq;jm3hYJEt?5^r>VQl9vC!Kl%SrQ_!nehe?ZtE>!|Rm2p2)fy_j z@Edrk1LGa$Q7r23R4@s_j1ihb9RyJ_uHO((5>J&UW>JHhte%<@5`K`;mMpqK?2NRb zUGy$)dMHI+c}=6lmLaf{YZ9EeD?O&w25kTpk7<6sAIhxbR$;F6ByabG zvy17_`P6hZy>Z6TvI{p*Zn*E0*?w`LC$#x6xlS4|K)w>24Q^RrHs_<))A|on1Aj+a zfsz<|Qp6-jTbHe2E`nfy{0_9W?$EGoRdMkch+cy+d2IDBPN1iLkP?kj_NzN%*iz#S znRFwC5Yg4~UU{0!AfNjHMYY?G;CMv{O>578BC*zQr09rSb36Yn4m-O4_=Vgnvf1FciwTeUAGRrf?hTvGlij?or+&~9AS?pjJ3Q_`7*_oZ3=+0C0>bs{+-%eX+L{Q|ubbyO5QfQUYmqsA6$Fb%2ADs>9yGRBT}40u zH51=s6cnXG4?@5wno0HF`om;KABjE!5GYCcbu!7EB6P77Fqat*80pgj*8)CE$Q!I5S`>vy+)P-(j0}j6D)5m0@-SBen3%O!Bt{$Ez-dUlV-GOYZ<*L~P%3|!KLKQ9- zuuI>4heW1==#lb*Mtp`q090%9p4TMUoPC4QB8RGgMnlz95u5TlXl}_v#4$z=+8e-L-H{rwFkj%e^%Ikrs%A2q|;lzz;-X6G%T=)Xw7kM z7>0r4hlBZMn@gLKravgqp`T@A!Rjal_kJf9zKOQ3>zq$^j^f`MwpP4D18w~f+WKIE zp$nnBAr`m9U7U$yw4hO?c5eYX-P1eMiDn}(bW6AmRCrs>+t+WR1Py`}D#7+A=0aY{`t~^dOe;VzRTZ5z}MWWx4bzUS~8D?&DR_ ze*goGt+mT+PTD$-t^XnxgjhzEO5s;;Wn0!y&(bv^vR}G&f&qGjgf0CBt-xB7|ht!=O2+!F=P4)`>K+0VYnSJ}<3tSk&9U2QSO>hF&5+rVi z;W4Ka-S?h7S>Xn9%)AZH64~M#X$W_{o&JEb=bk(XMA4S74@3T=!Sa2zgp0A4^YCp? z%La*xd?)VH^; zQV{|vQ)KN9X6+R!WA^--)74BY__Dc&O~``qU?-_DlP!gLx!N6M66z-BxcxPOauhjv zq&}g%Tn5x^sx=P<3yGC1D`BkQalI6OZA(6-E`k!;@e_b>dIvp(@D*w2Y>+J10P3

;2Sn@;< zeqHELWV#cJ@I~RGw)P}X8wPpCsar=mOcPg(2Da8m7fI~ZfXgrdRum|aU5Ip3sdpIC znKm07+6$ikRkA#VLoDjdiwv!oFM7G`v4{&T5aLY)#XwSc$#PVVEYHLanJHW`L(r-s zVFqYNB20UbD`d2wxlF4PsX5BSR!*OIX~mR+KnT-nVdX;R@Sp|_fbmIA(2q|`IHhc_ zoH=F_0`@lUo_*{qDvLw>Hsp%zR{&(_@brpWgUsEVbhhvAGv{!9G$e>#u%VBVp1r29 zB|`=8wmR$9Ayq{H;(%0bgQ5h2VKj-_R`Q&Y%M+w4F0c75%sG4vRs$!vvhcF~cT+r%TQR%p zGZfjAkK1igTN9tn97ATe8YZ+gol|>qY=q)^tBVCs+Ik{fmDf5%{^Lmdt?TJDA^4Uz z%$78^jtY#ZxURPDj;%X0;p8@HuCevxmmi~J`8JB}Dm~kMJM(*4-c+RR%QHE<%u@OS zeB%AC=WyG8h~=opQJeohx|TbC&+6BZFI3g79&JtcJIVZO2VY>C;^*r=ymWxkd%F2g zwio_SxP?P0P~6ETJGU-wS2H?0ao?g9|B>)kzfg%gU>^7TpLpQ3i3_>%L* zj-iEcAEAMsHq=0H9>()(GqO`#%Uc1b=@rqAG9*6pLUQTUfm@cV^RBpao-<{#VDM#|x zdl{f|H6q^wFj*Vd0YI5jn_5u?S{Fhi-D8l=aif)UnsQul@Q&OY6GPA;6ANmY7`SiPoz= zUbF-pljcoLB)BvX2u!{xb>oDX^vtWp)N^phX%N6-n8;F65_?_5cy8e}3$kRv*w4hC zk}B9BG2;e8>ww&Ch{eiBng4ULNJG8MPkFEBE(@{0Mk+e0b#!UVWr3iapLLP0&%;+Z zyecq96~={zmvnBbd1)gvs!FLGL!DF#4}es;Rj@1-M!yPir&An!45CSngH~m1k{XCP z#B7U9YrrUTO)2J&d}B) zXlvRVhMg0Be&PBcCVsTd06E<#p%9k>vD#J7^VapYf8pi@!b@pg=I*wz-U9mg!WP-JLp!fHP-%5_nU7!Ib{49G!H zP^Bq@br%WUy__a1Z}MpCzwdA=+s9|wytx#Se2j8taIKEj|I}7TNf}|Y2Ls^{$JRk5 zy*m@02DEjSw~jllTW`K2^0(LkS4IjNH3>hlEz~f|$$Xw)Nvwh_8Nap+ie~UQ9*6}d zKIDFN@SvQkYH=xX3%3b#?x8MXpQx@SA)({g7JN~Ah3AJu2cm$O52I;yK8{)#F`O^1 zqGH}0Umn2mMksh zV)vwxjd*U;M%ti~7hRY$#D&sQ-=EnMB>iEFB#31Q37)5fFu8CRFJ2`J81p$DT?|8x z2m}lR!KtX`^4g6RtfmpEinvpOXF^SUGI6&|5s#tCjawzWt!h%Dx>cgIvbuP}2?=5o zfA6C|brD2)11_s_(FGL8lQIdS8UTk`6Bp0Awd^p{=b^gHf(A`@XaIE|FYI8$25ST3 zI1dK=dEKosV!KKI)fzl?rH7Zqx*$XMas=dqq`D5o6zt7|8UHt{YOpT_~ha z<~*a%#ST$^N<@G*7R_*~XEF8p=#4g;;W9DzA+G7G!#1|WkwHa$)hC2Lgzk{a#e{Nv zMcZ}~V7Z7tM89#$i2;|VaV7mVK*KD?GLkwyY2eb$#BvVvqg7Ob!g}2@a}7wGBD)5` zXC#PInLvVtsSXBhGwDbpcimb50Tvxltea1FZ3EsFZ-^vLgrMiJc>;K5MrMF~DQstD z9{60C6p3=Z2UT2c(oa}p^6%1sHAiUA9#b2)0WOjU%pkgwdthz#Hq!>4#q`KTB+~ky z?|c`4D^V$y(*%MGGY*?$^u4OX0C11Rp3EbZowDY04b|-PR_aa?Nm<*$Fq6LS!*HI{ zx!!Ao^4A=*xJeY$%S-Z+c)mW&&uC`q5a~fw!s2$a_?!yDI?#Tid9EmoxA1m1@aSGY zZHb9fi+9zy{~oUauWMHf?|&qOfJ3)ftYdkZu@)9N6ZR01br-jW;`0<1?$EH-F~+EL zinuu=++p|Q=1|92uU9Jr8BPM^8WT#J$7(DTDA7C(V2lh<{Q zEH#+=4U4Gqp)MP(e-=A-*^A%CCC;eclV-a9AK)uKRF(SH2|IW^PuyuH`Bn~0X8Nv= zJ4mzZpmCJR0pJs=pe=m=)_7G*@VYCIJ2dS0b{ZiTDqi}$neHxZ5n{4uXINGN7zByS z5^vwx%;0b)@drdsR05SQ=srd+G)c|f7ntECEtjvKoY!Eo3%a7P&F-9+xz~?5+fB@s zHzrU~kRn6t_-LD(h8cv6!tHZ%q8QqJ^KEzk&Jc5CPK4J0A^S$~k!#rFxGIs>yUp=f zK9`aGOp>|gxhj9hxP>D&b^}U1WX~jNHrb5z1aV1Be~6vy`&MC zc?Odqh7XAv6XnE{IN+rkH8R+B9!FTmuB&d->GW4SF7xs~$&Ym}o&%tXLTq+vUhEj0 z-W0nRQ{H3z+6bViuUs}P!|@VO`nBe8)cyWNUMh!%hzcV`gbrDhR(@8x+{x(F$wi=G zoD344X4ZS&>X`^J%}3Bp^Ub(!?if#bZW<;RiRj-z10o7hT{Fz-v!pMa2}XqS8|C1zGq-Pyi_9xjA!{U_&p(M!E3_ zGdk>vc$+kisg{Yp8?MY(gWdE1T3uw%gO^KE9L(4OiMbM3CB2A@g}oZYadJpz;U)1R zC|7*wSVDtQ&X0hmqoG+?mwu>&sq)|=9#P8rdaT#U7afihGMJ?~7NpYFxuT*O-Z50}Nzx>u^x$JXl~RtobKXF|XvLO0^V{IM+FD=n zslJdq3$yC;J@hyN=5?%OyD}`UJe)~e$Ezv~Z5@7K%7&jjmN;{6i_YTSbK0H{G)soI zz8030$P2?RU-}2+rou$gE^7`J?ZhpTI7DsD>SoRiZ(H-FA#pfY;3CCMAuN5HVXd_F z#66+gz=vXom$qgq__(==)6@<=jVqTl{KVFD)`>^2z*Y<|e`eW@2kZ_De>_!XqJIwx z;e|&m`^D{(P`AS`{v)(?eY3UXch3Wv(|Z6gOYj^ae}_d$6^xe16%~3}VG(WZ<~FU^ z?e}=Tb+909ZD~BRBr-tdO1pCwveUy>qj-#$jTjLU-d=2tvmkTdEc>`eXN!f;6^m$V z8t(hjJimWuRSq|7%8V>8eMqV{zi((Bi^ehRv5czY()PG*O_;uUHc7TM>p@6ETf3;m zqOI@af89Kr96zc-*Lt`G%SC>Ch-17w#Xjd_$--ley&RW5vYsqU8Xaq?impi{IRt)! zLJ#Gqg!lZ+=|PW3=~5-I-u z?`-3TC$vPkn_u83{so1tf{d4wQJ!@zi|1XY!Rzh#3Beo}O&I1__$K8tM1$dQxdvE( zmdcYtsz5@=i@eh=YWRT(-a!w^b4~C%Eqy-hE5B{Lgdtcv$uE|@JR(@H#9rXnOY{T_ zhq77_w;_)AL56@Mh+>5JdJ8LQYA9Q@sz~cIXfO!y!2>S?Kp~KjPs1|E;P6>kOQnkM zkJuJkV~`43&Mb)GQh0@e;vNWh6ZjHT)tM4%kUT2xUX!*CtZ(s>Yh2Wf!DHzQ z;~eax3|#_c$L@IZ6|yi&XbhOFwIuFAj|dkzK7dkgy&APO8G?!lxyda`Gka&RIlTGxSncM>QU= z|LXAiJ*nzVCPMySummm*NGmlok{zo?R@#CC$i>1f)_l zM>@M$Q!q`Zj}((4vZ_4L5Ja)6!qjZbWK`+was@7FT3J%GO+}vi5vFWNpBz1 zeI!JNRv-(M>6n>mC)>s&b6hn=+Kz|KC`LcubMJlocCq{3N}*iWw>};Dp3E+leTC^%2^eU$RzjY_0X!c;Zz#at0Y-K$<^!)vPVOLDvvr zXC~i6^IT!@g1Me(~nX{2ODtlVc*pyUD|Wo@aad+^pHLcUl%}@@gZ9m7qD1S0K=R z?q#1ieKz9NWD09tSiiN_o3%-MB3O2|>{%CBYpcfAx!{s7Z z(3^KE$Rk+m&^@!WiM3{IeHTTlZ)}}{q4wBu1sZ@k?)JC1+HwF+KM8?RrFwAs5y&Un z1KkI}Sc?|LgTRo_mBI;ohKG@mEWtwH5ne<14UkqS9K*m1K|hmnShs8-`Tk?s5AsgF zlw7O>(;+NbsvxYv2z`wi9@B&$#ONMVSn8z>b6g6LweETzvf2kXZ3I^cat(s3M~^5t zgJP3itt$&eLc$*vb?CAe*L)C0{2^pGcm(cBAju^N`cc#wIpDY~_P9F|L|R-z6mBJe z5f?%|GD=hjh7W{6L)m*LTRUAyJzGY_BKI-NN;|Q6goU(p4|OY+ zi)DW2*+k+T&lFny>SqWv%{yY2sJO~lqUpID6OdUgimbI}G~If-Av?{66oTUV^}-}F zdE8IaICLnKXu?e{5$hG0UurYcsa&@8G~}}xcu5;labS)=0CyCbg^L86Wmh}cQm;ZU zzEUCrKLbHh&}_rN#CkkmJ#uf^(ImKMnF;6B1sA7gLgZMH&t>UujB+)$X6qFuj!CuM z6kjiEZL>Fng=H&hYpt>Mj8)c}-JexLTiuSsfR1HgY~6z7o_9oR{crqJvaBIt_T(Dg zb$X+4XJQxOoVlBuThXfgyWB_pyBE-sZ_AIffEoPrK9cka%-Yxq^oS^(+FBR((Imvc zNmTyR7IdmaH52Z`lMG0`hMR!K*0Rm^BqmQY;n7-aY_0u67C_N87`#gZ^PkaLw{3^T znehAnFtG<$8Naq{R3~Tfv7VKf6JtN^4Q_^k=gn5m-yB=@=7fu(Wq%X%ovM3kxA@Y$ zspF9`6W(Sfd_~7f^fCx*ooIK?^KY!UV(ER%dEPc2k6=zfDXyVP*JEqGkZaASj-`yP zzhKi(GhdzaOV6i{58+xHa>=%= zwJPuApB%Q%-dkgbIE&NVQ50yhhs<}MJ2@ISLt|&-D~adCEAycETAdSJ6w`h^v*awT zTAL=Zd#0T200V1XoEg1sO4fREW#RLAg0-evWBR97YYH$h5ZZ)x!-7s~IYLRa^L*nm z&EGssDS4M2ve6?c1?ryGSj=K4YrVHal!1W8ZDA@&Ga55krj2+QZi$-8S~Io|8e1QE z;S4%IIWjldysP2jx#<)89bX;s_X0}X#ha29Yf$$K}whp$(WS&Y#Co4!G8e;fEWr<4?iE_6HLOrT+0mku* zAeqSDWWj?`F_!HFBLY!_XXuxuUdMMV8pLM1^V+Zgh3HdZ4!$Y#9_dGkxk>Hz-ZO8> z)PP!V2Zo9(R!+YIAQ0B%6f0C`GmHlOu^L(W@_L~4$)$jfRGryeq^$c@_Wt2S)?+6-%%3Qn=kh>6COt#aVFdz_QDvE8OJsUS!;cB z56zMpakPy)?J&VTEL%j!?1%X_!NbmYp_hvDE+(8WC=1|FYUJdMoo~Qc&95rNK=7kJ z_|9pklex%rI_->NLtiOVZ% zJ+B3AY;(cbI$G>clHX&d|M&CI?Z*vDWdGCC70f=(#Ly zm^gdtD#vs>nn2k5-E9O^_Wa4KX6zYOsqOetqMjvNpFXCQY<#4bLmyAon;Zhnz#Ial zSqjUC7*?4~P_nS&)ewF0W^d=7u(>u^8EN1-1X{EM?oakdKI8P2e}?iQnvAV|SFz_G zwb`lnj9c=RXOo;)me7aHS_NHtS?!6m!`EY}|2r(}o(abo?+$YU6^=#IG53r$SW_Q>HV&q>S43oe*?=HgyHMaPyuaL|QLI_fRl0#-<3MbnYV z6sp8~iE`c(kV0JsERx1uLMeeg;+cI>or0x2U9JL4VOs=*IkI6qU0`(mq_?Ay7^P7p zE8Hz_q@Yw6xH?Kri8!tpli0zuf*UkNzFjy^$?p^d2c&mXxK}dq)Ml&3`J`#X$r|1jjb&H(K!T(UHK?h=)j4q@OT(JfRx|S_en^Hk!=ITI*X+(q351 z_#F<7z`FHU!Fw3|?qM8p#4%?s`~nZRlV>N&negYTv9({+@Vf>Ud!2^R`g&}@lGx8- z;$A7Ob*2DWYpq+ax7MzBlNRzw>T5b%-yyNk-C>h_w02x)Ue448tW7pJ;>lXaFXSeU zFQ4Ht6biNA_l`QOHLAM+gA3PYUNhlyt31eBGqw&o@v8jj)YmC%N><>xK+212mP2^W z%B2|ho)8=ufxzOLkTJH-ShsdIbvEO;sAG#*>%HEgm%RBU4P1r-b2t~0_?}=vc?x%8 zSyPgIoSA+@-B@d_Thlp&qlBVAynuVl+6SIU_QV-`=0u_?mbFfmZ}I)C=SF!w=soP+ z{|cwLAGti^yv~Lr452`g?Cdgo+Rnbc6Cb;0M)GVm37#hc?zP+YbVklUX(J1t&+bqB z2bnOuZBIIdwYKiQ%nxPJi7G{oEcYs6SFvZJGA{ITXmiuYk7*`+=d3~nC0nI?^WR;& zP|lB_xmDuOk#=`coIry5%`2?99%;G*~#uQO~|-6c`f9hrUMch>IwC-N%9#AyHT1 z3Ho|ZCiIHbEt<1~1% z;=QA%O}yj`ij!BziW!5>yfbgh8zwNt!J)8ZM7gK8x zf+qubqq?1Vl@m0!loHHt!a3GmAntcMs%b#m{;O;8ws7UeBXGh1sDw`KfXyB_w@eE< z$15=DQDgspFQ9Z}veu_*5_~*g8Eaite7ll>0&C6K6Xi0tcJ#LB~6k^Mj~O-;1iOwNAY1?K0!E>!ieoVRhzu-!6)K!m-wjAtQzYv~KNdYu%d( zFD5?6EZPwWWF@J!-+y)dQ=k0umw&ZS8R7hEJBsX%5AYW11xoh96=6)4wXLKbyWl2l z&n*o1I(O%egQaYGu3~SE=0=xy)@lAHW#a$E#SyIa6&d680t2?6!d;H7VXiA6y&H z(b#g~=yIfZd&2#eon5ko;&G&VCT&R)am}FH*i**$>}kcq=e=iaO=-FpAidLBp;S|Q!s31VZ6_ry z8!EM8g(<4_s!~yp>tT7BozWB#S+B9Rwzsp>=j3DVp60pIh$*0k8*$9kOtOHYmUhu| zSK<9Nt|d7f*R1$i;gU|A?(s*C9(?kZeLuGQ?@UVZ{h+orzAoi!Ux$NZtzv-esTVcc z)Wz)>FM43@MWPmgn1WhU@g8JXm9r&(wY83%3poot6UT%!T~iM$l8JxsxO@V)qS4|? zXZVqiTH$%mJ=4!R_`8oKx1PQ3K~<0%d_@yuVBweXbz*1=6O+I-DT&o*JFxiIaI}V> z)<-UMVZ=sRdAtQeE3(!A{c@w>@G;|#%;WQAsOuFpA4o-vN039>_M8f@^kG0#-X)X) z=%AXv}zDbXVlXf4FS=z493bgcth&s zL+&PaBaC?dwgE}rrfWh;0aqRSb{$9AQ#B&;yQpFYq0XGUwYyT6MMcahSp$_s0AJHAi7!5 z8?#=asX6i@o3V}(-%0D?l5Z?2WH2Pqll3VOU{GC6l=Aj48F_z$u zq1-pf8qc7GmBEf@i#2%5|E7CM(>A^ArP$H`6@VS!@5jbSJt}Qq)QtI zI_U{BeW|IpZrwoP?V742kx}k_4WZl<{uyb$G~?CSy3o3HoC(kIS(+G07rwrP?lK|v z!@?J?{KH@W=J9@ihOm0ONIR@?uunr@DYWB?b!$9Bx*S_ybAXxp=7PM3Lp8R}7{cz= z*qV;tcU>u$to5FcQJ`fG>Y4C>LjJl#FY%jHin3_3xRcFRu% z!|JI;IrGJvJK@||uvI!M{@^dOqoJSN=I+AWIhZ{5HfCThdTU?2%zQNyUXHEjcLX0N z^r*tNb zi8l6opEqBj_HO4taLb+-{(xTMHJ5&UHj5j^Vi@r z8gy3`PPHp#j=h3i#y4g_+T#8mE-8Qv>EonU$zpWuA#5Gw&Cgx`6aVy`bUu4PiMA$} zv>YU7p&v7+q@7&jgt4Gt$FSDb1irinLs0M%r3i;_QRsHbCu7@#g9_D}D-i5;KgW&q zC5m`(G2M28kG$rEMW<1rjw?}smYqD;nBzx2UV|O4OOmXEi)XLA`lAngrr+O#;8V&n zo?(%P1u=DFR71!JP{J7|M&Ki3rF+0{m*&w%gD~b7L*lqYFW%r!6br9Zp`MX5A#hhf z3Dhy`$T^ZZyWfMTFlw-%qn{BYPvF<@W^K14en(P#oKP_|8^GH)U)=fRe|4dkg2PqP z%mVKNTNWmvp+>aBB~W4xzmUSU2*T-L_^`D>NcD%U2JRb>odEMf53J0u>9Z?d)fXvH-!6yYp#X3gSEMb|4&{b-A z@aDN&>V*=lhHiO4M%y0beYH>?Jh5u4We?WghDxh|2@afSb5cfA;F&j0I9g4bI9>4J)v0L`Hk}jWRHXW4#bS=|?9oIuS7ya?qD>4aUIt%@NIZ-%7Jnw)SH*M zD(bP(Q2Z?QLMgLJBKVYKNj2fPMIHk_OCxq&cZLT%C4P)>TFqk?im6FkDw4#h_fX7b zS-6tmB~jW0vI`eyzR~Eb*0iPx`Ubr*&+!{+>X%7;E(@jlIR1YUGPy?MB00CM1Fke{ zvXs$!l`RWRM}!rA(~KVnaWZI*P0)VIbIjXRif<`abj<9sC%m2)^@uv=h0sP7Y(r&} znm3-Y5hdilcD3Z8yju@^P3_zQwB<{xE?Yx1$BqdNrHDV{yhj@*b#(4K%nOsbf9oyFA+W5 zhqtB@+lHDdMRQ*Rs`Y2T+OT!inmw9MuOZwY=rnU=tL^GQ0(h2J6Y}$%f2@FA1X!mL zV&#g#1Ag++?`XY8GBFui&mti#>eK3Gn*kxGEylghQ(ayk=IaK+kI+^5kMF4>Q=CEM zh(Z@98F`LVi_8jgL}Abuav3CzGCahmdjnN<(;`l_w%@(jU#)qKiM45t&ttbNG@d-h z@RGM?#?U|Kw-lcsf763w-k&ExM--k8Bs2sbF%A^=L?FXIK=EX0HJ**EJdLZHOiShx zMXxM1w5>{&p@ya$uO@Ts&T(-}^4dKOT7);t@K-127!dAWoICj#-H5xE7$P2+EBL~F z+8(E6=;^MeraRU8mqu(|wPx#e)?K;J(G@PH?fA(&nLe1tavdglC2HSJd*R#+2Zp@N znSnZ@Q1x;s-19%9H2BogWzdpy6ovWHAJsPLyB@HF58*Dm;+lC|dM#Alk3OpGrL~FX z6I8W_E!fyzS5Bu>LI}|D!G#VKo_Ir9`%q?SXFvQWQ;|Z^N2hdBtyeFutJWbo$1dyk z9q>Vc8kym2M}Ko^oal*;b)Lzcy);jo$J+@6(41`tW*!Htn)cUcxJy_~xHDfGj*aqZ zy}i6(Ode-vvm8nKp>R~Opr%|q{yq2ZUMwrfA`sxqtKsNynWBbNF4VI*P^~Xg#`~*v zTbPP^S`O(Bq87=Zv_tX~$g@rUg+)CL2abp)KLAnk=7?$ym=?E!wtjAq13{+CgymJO zaw?Xh2ekwlju}w_6d)RbD+Y|=6DO+iaq_$rRIUH-4b@sS9hWEhAgMi9ng&0y5Qhlz z38*7gf@Mn4G2mx{ni|?7)Nrb^Jq56KW{YbaPl^V~LOsYCp-(eQIokSEw)9 z2yD)<(kPDe4yQ*JBjIv$HXqeoBKH4Mtv|~%viG2sc+HI-o^IJPMa3_{m=DXaovla< zyStbf@4;`THf2}t?8O}5V55UhNcCOely(V%qM4Di&REw@{1T!#RKw<`RCofZ0L|(H znK$Badb*NKc#(S>g7g-{jqo?ghchYZ#W8~*Yyq^oy7fv^e270(>+XhXotj^`Q-NBf zFGwe#+LxtTW`-MhQ|YAX;xwA9*32WVEq=nB6228CFb13Agp_s6E6LyALki{?<~pv# zgB3Yc@hfZ1n=dF!cB%peB(lvNlo}f%taXS!?NB3axT{)UyS`e}m{6^;)=WTAULGPw z2cl7N-kMnJz|5455#R_Ir*A$OTsoiF;|JheZP;&ftttv1mq{Nk$U>l99VmPw^GiDZ zKV+*5cn5_=6W3W=@B0Uq^F6xeF;+jwRnOF7{GPoI6z*B;c%X2&0i7wcE&)~RH`iC| zz~K$iTF0*i$XdsDT87h~}~)l=jG+H$0uznVC#HB52FZhFgI z2)ge8Z?82I-`+((2O>gS4^-=m`1Dn4Z>Mf*v0ph4SZlgDCz%{Rm_=(%3p4f%1?v2B zbWA^Wk}d%OOc!heUYrQKif=35$nV-($6ZzNP2UG-(hvn}oqIMxn-@wA$?Z0+_f+p} zjxA~X+mUSSV6vZ3*z-G2{wzJm{Y&1inaq+m^2y8|S}L&C9T(7GO=JCCpEO=yt?BDR zt^INy?4`LppLzRS;h%SuPYa*mc!$pGd6N7*1!v}O2CTJ9eVrxi32%5hb6Hwe%?lz_ zTI=aNmUMQDEgmcE%CQfnzubPN$VSdS-K|kXrEM;0lDVdY#u$lpxMSiRY#OD&jwnD6 zfy<(xHBTM+Tmq`rG!^~UIuaLRM?Y$xtE!QD$SRe)Y23Io%r#1ne1^ar;j->vmmn6s zZ}A&D9+j_#W%!FA1-9oIU6%+pS%#+sMDZ7R&SA)l|L7BH&Xw9wQ2)en&5`^H5(m!% zwA`XCG?f^+CW3)qF!VD7d@^RUoP9_M-f)oe+agi(Y$jLz|uVPK&fA$|Y>32LVVbcD|G& zvHaOrX~amZFO2!D6!iuvY#TsCsbAP0_5$p6d=k`2pmsS_DQ^?k9qu8) zIUGPM)xJ5ZSO1q}Jr+wCwCMn>RFSBHkI;s`{hVHEQ%^pQt=~Zui*3a&taX94-Xm+B zRb%Vm+7osP3^yog!YepSRIIg=wN5K*Jw+I&iDiu=jm$@;Dr;@G)X@gs`B+ccK;7;b zv}y0@6^Ww#&bB|+k#OhEO2ZK}#_A4hWGdU^FO&`og12k)E5R^-f0H&c)ZkKoRG|fGiY^Vy%5`t?RKhRNxpT1pc^-qT@1AC6HV#K{9o?Qen(+sfAC_qQe~s z%144t5m3w$ps}?Vd(QDIlx;yu)Ih4(O!^@yUHQV^?w9ZgutGo!lJ+8(7!5J+co+-~ z7h;L+3azTMouCJ3*pwV3OM>Zf&pkySDqTHD?MVJZwweX`@EN>4ih?>kJC6Jf(g=2M zWv9Nqmzk$vwcF71sWhf?I~d?0p}^60>y36dO|0a8xM>s3UDk=CdQtEc*M$yeQjH{1 z&>-*xk}z^qpa`LIyUEhA_q8i+X7Q9()<x!+_;L&( z1jt%zY~88H)+C5eyJ{F*kJ=UvFaNWY@=M0n__G}|W%Fhw=0kMUI)~$~14Y^zDBNv( z{B4$nccA{N4RaEx@o*9JP2Wx%J0WYWqc-(k1ltR8YEy{W*;NscQFbp`Z1saoT-<@m zb8W3J9b3oKiKXF;YbZpc_z;QIGvU?PIz~K(wHzng-0vR~e~n=o9V2uTY9GVC+Sr{$i~i9S^UubvzEF%q16N$IsU5#73s*Uv81UyQfiB7lIjE``*}^ znQ*iHjIC$lX#44r-LbikREGTI_uQ5EmHT#SCfvgDljaUEgzmsjXN897+p)Fu_NkX` zck|$qT{km?ULf3`dOz!AZ&Dty)_PUiA5yHIwPtM1hj2R+?4BCIT00w6Q79qE#O*ry z6LwYeCi-!Vt!?aQi~_7YWNiHt_CRO<90!>1##%2IMf%Wuj&RYz*yv>Jvndq7yAPwqhi9Lsw>E^LwhLG`qq#NppD$1~ z13R2!tW{B>iye*~4&!VUJI$L(e5_}xtI)a>Q6@?w1`8ArPXi4cqKS?U++~2-k^&+> z^4UK43RO`%a*Hh`AIui>?5>yqkAiBTCyaq`bqtlY4kDF%aW*9ZO5!ethxp4+OTG+M zYN4?t#6%2-`1S%9(ak&Vo~sU6z`f2|cLP2VKp76uyx)N~NAeov2G4_%#ZJw-N}00? zkYB)OIPhM}VjFI=hBK#CN7>?#dYv4eq78s#&B;+ED`x}=8>HmS67S>%M$8Jzdyq2= zj)07le_c-V$nh5Vq>e*t2}Log4MU)SCKCxs@GL0Kr@nCFknW_!jyZ5APV8yX&d7iH z4~Fb(^mp22^P@J*QD@hUt<8x*;yVFr-SB2d(ZnUtJZi2@V1yZMA*01hIe2-GGQjh! zmMazreR5oo%<0$ZXBZT=>{cN01rYOTqbyOG(W<&SmMC_H{I}5m3AksN-53mkyc)M4 ziyhY-)b?ao~*xJ%+ zY~5pIkRxCegVwF%NOk2HDKWOjk_1aXWIUFFK7(s});dMJ$384RKWOepK4NY!25f<` zwdt@bX2P>L6OOfxM{P!Hz2{A;X9dw(e}!5`HzIAz^HjsBbW>RZ9g#wx*0cGOWa%w8 z;mfD>?){jvm2qYDFWJ9FWRMGeji2js zSk@hBCwXbbE}uD>anQ`9y5%+*8*L-&fSF&(7X8hxdWv3~|D1P3SpI5|)>Evtx8`Ly z)Gq}P+{Vfe;a=vbi8LQ)y;sN@ID3r9%8;15Iv?3P9*EnLC)>iqc{$M43S0k5szE*i zB|Hq;LQu>Q8N$)#68KoEoX5!*h~-1#r3&K17C&8~+uIV+oPh_=y||m79&~CK!F288 zQ#?Z=x;zORoEM1QS>Q6IIfdWdXL3!6I_ZM|S_7gBHTpb`2*bHkG=VUk97-P5L#Tv2 zO>S{KB7@F{jI3DNEdvMqx+&(RD?oHAN$R?`T+%Nrn+*!QB-tuP%;U$bQ( {giw$ zagi}zwOOWNAmDS}@x_re@icD{HIN3FA}Tcu2DH{r6b=S7uVLXFu7oi6(V$Pg0?xR4V#BQKzuoASbsa?bhpp?84Xgt8uV(8KU(Q{ zIBkalt*;O%per2uyy7p;B0{qo6MJ0SfE=ED2vX8Cnsy0JQENMX01`vovRwJ0)|#T3 zGY61$O9@pPJ(sxb1La2=greg>1VR)zyCPr4TCeOnCyqkM7?5^#P-M2Sr$qn_7&fe< zHvJ_CXePW|x1ON01Dz3m1_Sxb*m|XbwVv2utr=P~xVBhVLT#;!lEXnnn~rbFd}k^d zY3}z-@Xgt-*B5R4mA%Cn*80A77p?sxxoX{`^znPZn({HAaHf^pE>V>L7p(Y-p!wEyM2 zmWtMsdw$t+1~i_x>XTQQ;CQ7ut99$tX>8rtcR)U#lfWi97!fMEhCzC2Y-jQ0eKx;* zuE?_ECmq&0OK$%6YzgE%i+2*e%e8Rgq_;x{vrb(Ow$qdHIo~vp>1fuEAoz z;qx(jXxfi-_S;z4r`*|2UenpWl8J<(J?e9NX;0_InV+{2e=}W_-yx4&q$1UYV&)|M zx97)WeUIK-{W!{*oxX=3jjexieRY7rVje`SC^vV*}db*=Zy9Pe=-0F)_ z@uMi-chOHDgq~Y;UHznof#5Apm_$9tOq7JKj6!5JkPPJLH%1I+;17p6l`%TuW%HF) z5nLYXs2w>Z$_$qWF>vp}y|}#iV8@X{yotmVmwXi4n&>i`IHH$Xb&ESC;YP#987a^*OI((lV5=60{1^;?1uXkDhlW z?VsVu%&|OjKS4g}GOb3emLkuHOVL>9@Fe^V@KaxY>i@dw`rnBvse({GoP^P5*wBf! z?r1QV98qA~rJ@o^>iKwLBcbe<>TxTGktQ-l8?R#f8aGr9$u6}7FW0YdgR--+brA|2$dq_L>PV zYHOW6#IkTFHifJ)`&jY7P10&lIBECAU8BvF-bB+@m?f`-tO$P|>Cto3p3es?xskF7JT^^tl{cxkPxv32Vb2Ez^S__N>s z)}w#g7m!D5{QyL(b?dNFj;$$CY1$^UoRlDI{rcG6wG6>;bBM(QYwcGbrKCTYGJK_f z)qDr_^fS!3RWspHl-^8u@#Y%gCSnCWXKQ?d6`qb>gMnGea;^ruNKT5G+P%ONB){sD6C)K9fJ^`kKx z-oQ{mwB1K4%TDgxJ=)YaljEN7clsy|#|I=QlZ=^g$GUaUA#1StP?oaqtYB=t$Hg*E zz(-%7qYIYS+g9`jJJy={iz?oL z8=RcpYGQ+%qYWb20iABPL1$J*4$RwAS4jynIB*LDCVtoWfH|fro^Urjv&+snJB*vs?)_ z<+%W=hT$RL6Tw&a-fgvY*&p7t|Mz(%VGW>}-^61~o(9^tcyMVj4dWa;RUK8d6hQpA zwU}GGXt|1ZjMHW^*>+o352Yv@tTo9*2sJec+o8uzT2*Rb1nF#r=0R8)5;~c{#5sFJ zGULRDGZ_+3`BXan;C}P*e{{n~|N8a)e&skeNHS)oy@$)uT|pR*%>vxK+-L#Npl~RJ zFiP2p)6+rcZJ^eqNvxolJYW~H@(ng7e>2jHCub^95E4=>Q)w4YJ{WFh#(*fzy_o61 zGNEN*6b=a}?18c>sxRTnB!u3B&imf;_~-x5@AOq`cJ(-_v`;!Be>ozm*u@0M^QB%) z>J9uX+R(9e$l};qr=FC61U+|IN0l!OETw=;!Bg?IZtbt4eIBDH-;QHziDO9%Gahnm z^HPU)D_ZNt`(T`Rx=zwYOxTCfSh9g=_lG|IyT7peUtHhk@3XU*7p!$rkFDFChQ5QG z{um20Xuw4c%UZWOyi`Ok4g(6@ccwDhFk=2B5b?xzpbWcu#6{^XZ#nE&j*(DFtPPI25=@iAx5FcaQAen-OCdNf}|Z-JsI z^Vj9-oOqeK$*Wycj;EpU;<)AqA#r@vkw*x74pA6edveESAEF4IYIw%hpu9oxmqG6V zCN5JCgi|G)cA4d4m&IBQLKtHMymijX3e$#N*k$0_) zS*Yjq{ClWgE)s8%zai)W@twmDix&t`Ga1fQ0jnM#S?lgn<FG|h4CRPv;6o=eH@!vpm$C5d<1ekLdfNTqwgFYDI3z48Eca#tlO=y-TW$;c4o zQzvV6vhs}J7r4n%w7jJOMzkR&>BKNjiUz-hJ4`|AX|v1h&cw?n4U70_8TAtQbo=zb z`nI};73dQ>LhOi*hEqLe1C~V8cpK>GNBM&MWlupKgP;@NC5GuXR~Z#jUFh56c(f3s z6orQlSC6{fz)V0>)Xu7S%~sd}Zvym_`Xh+Bn*d6`PoSQ5rHaHtsZDVDfj5~(ue##T zdeyqidzk1E!3#K;69*jj5ZHu{Mg`?*my48t5_p9`mBzu~lsH)9-5yPevQYB3%ZtBB zlBM@jChL@J)+2f~8d_B^cn6i_R_a%`JVOICQ@{@X_7Lz40U^W3r}z{RHPBoLZ5?=f z^RuU~?wg8ilL!$ziutcgKXL%(MGftLkNGr!Mw`!*>5HnKU-y_nfrbz4T#qxJ^*K6P(~ea zl&T&$JfyKY8-pI_xttvRn9}SeKr!lk?b;2p`Z`*?>nCuvR26|8T(@!sryr#~1U!k9 z5V%o{OPJyoMOmmkOd+Z+zV@i@PWKpy%NyjBX3eCckJA(A1RNUa2klSM9Ih8$>hRtG z7cXACe)ZzTUbT+aMKo7Du@Y#M1`3~~Or{8um4GY_(gb)zU`aJ26&pnCTAJDD9;c{G z#o-s_)Q^${39TYghu>?I3D*95NTh=_S;p}j&X#6cNqb4u9T7tHVW8R}Z@6X$Fd; zT!~+v*8}H-GbYj8Vu-3@hAc}ScGB7{nu^hZgvRERU9IY~^*UlWH#(QOV~JP%t^*QT zMeDB5vuKXd;W~!T8wB_r*st3Z?EEZ)E=_5xW6>gjvkT$JDR2n1yYHSVCqpm5gVRXo z0)n>>IPK6V>|1!O93X!V&GPM2R-r`#6xWsRM6a{FO*P}~<=v@2!J)1?qEO8W0~sa8f-_n;G0kvTyQA0T3n80tXkTg=XBn9MB%rM+@Q>$z}Ske?HukSLK5sldz~V8)@MZkolomUjy)mm*Gd1j z)ROZwapCj$_z&`Po3rGZn;i!Vi}4MhD$V=W{nh$tcPx1^Ju#M#^1a)zcpnQ$yh&c$ z#X3F#E?hOf-@25t;Sg?ww^ixKiwlfbmmG}azzY9DX{^ z!)xct5b%?Qwd-Qm@)Z+~Sk8&RHBec;1bWFMSkNT77YbCerHU^}EH>u3x>NjEPS2I;h&^Oa14Xa3t)_8;ZcW zsi*;A_rT-Q97<#-`gWmg7Nnq&kl#PULz1)?TihK|E)&*~76_-Ib#v-#41rnI+0+HN zm$0el9<_+D79W)SI9K2@s5{jsq1W(inU_;W=?YkDJEIUdrHx#kFQdB`1Ci!DUB>-1 zixWCEMp*c6fK94382gVKfCny*4Vg4=rKUnKaVUuco8f*Qv zd1N|pmXcXp>v_eU&ocC=lU-Re!-che@#~ioUGEck45xR{&-@H)P>yO5_uhOLQOd8) z(dA+3GR~J~?@y@=+H9~!!$lLvcMN&+Wu_IE1m6>)74(5^G1r)1sy~D~b(U0Cj->(n zjrnIXL?7#k&G;IlILoIEPTG0!&>LFSnIraW_Nm^yKj?i6q{7*iS84{m+iz8l*O?{ z7w+Tujq%G8m6K~ls@whkc6{NhxQ`JIEwFs*a;zX9JMQ~g(+7a$vPi?LUcj~uMy4QY5ew8P;<`e6k z*RzC!c-a^@9N-0{XS`Kd(9;OhcVcn~IKq3@I^v4&2x+Js8NJiW85^5W2k5DYqNuFI zzJU-ips=zla$X07c>=O2e&iFCBcG@c-3NguFoU9t67!(0NOwx>Pi-3`K&r@>xjU}F zH5|G%iZg6aBFe;e2;?=u#^jnO)$ug=QqeWO?xh6&IWVGy-Gi|zl@b!PkheXi%BQwO zRF^@FjfOCZk63k4dPN-sVK-5q7X}1orp==`zxWt6kyY^|;GbrMIrAPj><$BEViUay zkNtiXQ0mk*Aq;>>oIwbBfI6(Mhc1sRw)PTH@tDHFM1QU$0wctEH#AqqtSgmFcL_`u z#IUqB5L_8b0(t9LnRb~M(LYPyK=??7tWG=)Tq#T$YwKTj1t}s_YaB&I)-{l`1WuyQ!-_giBLQrRm~nqg+sDSKJkA+!Di94pEbjF2V#83DXCC{P}T*6Wlj_xU3B=*Ij{8_Xfq-u{v#y(wH0Cf^}i{A2D`MZ;6xFg+RfT3x-pCi6# ze)12D4Fo{!c)Usc?hd-uh@xbJP*l2)3xlhMPIpfThD;5Lhqr@_R#p@9&hG6#WzX6t zEVCmGSNN+)#9bZ?A9ISVfowk^`E3RP&rnvsa*!MJ5y=RfMHl*T2sf79qg^eXO$_L> z_3O^3^b)uSN@>l5&DlJ+%g=5ud118_y3h99Nbb*QwoS8W_nXTJEofyyGc zHELyUfk|p>jk54I15dA~TLtjtGMG!8dUl%a2+>;8KcTe3c#zSCI@ZQ{t03m8gl!S^ z8My@AcABj8G6^DZt+=vWQzqTT zY=@_Tu)`7yr_xn)=8`V+8!;+0)q#j(YrVwdl`JLQ>n4mKM-4M&W48b)$WVK+RrJPk zy@eRZ*A%&&iBT6L5IsbPdEH`q<@4shko1a@+H8f)WAAuTuO?^%4YWNNx=|dr*oPIo zFQ1&Nd?OV-D9fbcpnC}!EIBzLgi-eV(d4E)XHR;E+hPkJ9dIX7LCVQ(XHd_m!YRYI z`aMFxA!s_C?Wp9vFiBDL=*c_XmGvd8xoV$H?p^%UI1rirt)ev+*!nM@gul{S!#v4$ zBG+ZsD*P`bxc?8iqG0~u!EcttpG(S{CHz-@l?JFEn?T(i+9c>NjaTb0j3k}6gp>1C zgQ&J}yWq<1{&vy-3NLCvBiOeZ-1khdZJGq_H=Kh>`~a99oZw%8(9K)7?J-7gXw+|W zkg+|AKR~Rv%8)wo_#aeKw$*zZt_k0dvfTgh=jwhds(O3-9M|R5zg79U{iNSoO!wB3 zW1#U?6a2pEh3`A&Uq$>&q=C1noqOvwvTiK>R+E3r+9iJpXI_n8-2h$^`u`&jrko;d zW=2cDjl-+&wJd3(=B-9G{5JJ_{-2Rg+FgCZ!bMf&u)JhyFHrUJ64t@vrPE2$d<%guAAdXXAdps@;qG( z@s`G0&&TJ5eEfFW@G1pvJ^oylD_N6aty3ryvc=HD8szYi-8IsZAwEGfnX8`ITTsha zhLse(?eS$JIk5Axo&1Zrv@Q1fkq&s)@}`NqJFckp2fdZ< zK|@s*>dIw268!t`8$7}#sqO!KVRJ1TeAuD?r>W-~rOe@>#JT@iT z2CJ5~mu#TGeh+-~Snree5?Kc8BXVkzVJpb|gQxpf!b8Rqs)c`h@!GWg-HRN#eevRC z>OS4v;AJoKxzqJnTX+S_ujTFO?_PXVv=U+EiYTv=d@te_SQ{cbdvV7jdARU`DPoWO z4tco^tZpUsC;`e1YYRgg5_(LmiQOYVMT(6`ehaLq3Gv1I+vux@wS_=iioJpR?a(Tf zliH`7!K_qtKlxwc${JV+f>)-g#I<}L;ddI?KNT`bSPzu+ zxBU&3*&0^jE`=sJsP;&$Ocoo)*r?WC7Ta4jMG-5uylR7z+N+4oQ;c}V2Gi=1kF?ez zZboYz6MYeB)V5-^hjq9okadNw#<*OrAJmcwDYpO7QEQ@Mu^Ck(JwV1tcWZ(A^`)~! ZERzaL>s2ed$NdK7L^Du!b^hA!{}+uz%8LL1 diff --git a/firmware/output_files/radioberry-10CL016.sta.rpt b/firmware/output_files/radioberry-10CL016.sta.rpt index 126fa98..2fd0f33 100644 --- a/firmware/output_files/radioberry-10CL016.sta.rpt +++ b/firmware/output_files/radioberry-10CL016.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for radioberry-10CL016 -Sat Feb 24 19:55:21 2018 +Fri Mar 09 21:38:13 2018 Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition @@ -19,26 +19,26 @@ Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition 11. Slow 1200mV 85C Model Removal Summary 12. Slow 1200mV 85C Model Minimum Pulse Width Summary 13. Slow 1200mV 85C Model Setup: 'spi_sck' - 14. Slow 1200mV 85C Model Setup: 'spi_ce0' - 15. Slow 1200mV 85C Model Setup: 'ad9866_clk' - 16. Slow 1200mV 85C Model Setup: 'spi_slave:spi_slave_rx2_inst|done' - 17. Slow 1200mV 85C Model Setup: 'virt_ad9866_rxclk' + 14. Slow 1200mV 85C Model Setup: 'spi_slave:spi_slave_rx2_inst|done' + 15. Slow 1200mV 85C Model Setup: 'virt_ad9866_rxclk' + 16. Slow 1200mV 85C Model Setup: 'spi_ce0' + 17. Slow 1200mV 85C Model Setup: 'ad9866_clk' 18. Slow 1200mV 85C Model Setup: 'spi_slave:spi_slave_rx_inst|done' 19. Slow 1200mV 85C Model Setup: 'ad9866:ad9866_inst|dut1_pc[0]' 20. Slow 1200mV 85C Model Setup: 'clk_10mhz' 21. Slow 1200mV 85C Model Setup: 'spi_ce1' 22. Slow 1200mV 85C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' 23. Slow 1200mV 85C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' - 24. Slow 1200mV 85C Model Hold: 'spi_ce0' + 24. Slow 1200mV 85C Model Hold: 'spi_ce1' 25. Slow 1200mV 85C Model Hold: 'ad9866_clk' - 26. Slow 1200mV 85C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' - 27. Slow 1200mV 85C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' - 28. Slow 1200mV 85C Model Hold: 'clk_10mhz' - 29. Slow 1200mV 85C Model Hold: 'spi_ce1' - 30. Slow 1200mV 85C Model Hold: 'spi_sck' + 26. Slow 1200mV 85C Model Hold: 'spi_ce0' + 27. Slow 1200mV 85C Model Hold: 'spi_sck' + 28. Slow 1200mV 85C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' + 29. Slow 1200mV 85C Model Hold: 'clk_10mhz' + 30. Slow 1200mV 85C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' 31. Slow 1200mV 85C Model Hold: 'spi_slave:spi_slave_rx_inst|done' - 32. Slow 1200mV 85C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' - 33. Slow 1200mV 85C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' + 32. Slow 1200mV 85C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' + 33. Slow 1200mV 85C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' 34. Slow 1200mV 85C Model Hold: 'virt_ad9866_rxclk' 35. Slow 1200mV 85C Model Metastability Summary 36. Slow 1200mV 0C Model Fmax Summary @@ -47,9 +47,9 @@ Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition 39. Slow 1200mV 0C Model Recovery Summary 40. Slow 1200mV 0C Model Removal Summary 41. Slow 1200mV 0C Model Minimum Pulse Width Summary - 42. Slow 1200mV 0C Model Setup: 'spi_ce0' - 43. Slow 1200mV 0C Model Setup: 'spi_sck' - 44. Slow 1200mV 0C Model Setup: 'spi_slave:spi_slave_rx2_inst|done' + 42. Slow 1200mV 0C Model Setup: 'spi_sck' + 43. Slow 1200mV 0C Model Setup: 'spi_slave:spi_slave_rx2_inst|done' + 44. Slow 1200mV 0C Model Setup: 'spi_ce0' 45. Slow 1200mV 0C Model Setup: 'ad9866_clk' 46. Slow 1200mV 0C Model Setup: 'spi_slave:spi_slave_rx_inst|done' 47. Slow 1200mV 0C Model Setup: 'ad9866:ad9866_inst|dut1_pc[0]' @@ -58,16 +58,16 @@ Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition 50. Slow 1200mV 0C Model Setup: 'spi_ce1' 51. Slow 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' 52. Slow 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' - 53. Slow 1200mV 0C Model Hold: 'spi_ce0' + 53. Slow 1200mV 0C Model Hold: 'spi_ce1' 54. Slow 1200mV 0C Model Hold: 'ad9866_clk' - 55. Slow 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' - 56. Slow 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' - 57. Slow 1200mV 0C Model Hold: 'clk_10mhz' - 58. Slow 1200mV 0C Model Hold: 'spi_ce1' + 55. Slow 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' + 56. Slow 1200mV 0C Model Hold: 'clk_10mhz' + 57. Slow 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' + 58. Slow 1200mV 0C Model Hold: 'spi_ce0' 59. Slow 1200mV 0C Model Hold: 'spi_sck' 60. Slow 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx_inst|done' - 61. Slow 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' - 62. Slow 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' + 61. Slow 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' + 62. Slow 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' 63. Slow 1200mV 0C Model Hold: 'virt_ad9866_rxclk' 64. Slow 1200mV 0C Model Metastability Summary 65. Fast 1200mV 0C Model Setup Summary @@ -86,16 +86,16 @@ Quartus Prime Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition 78. Fast 1200mV 0C Model Setup: 'spi_ce1' 79. Fast 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' 80. Fast 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' - 81. Fast 1200mV 0C Model Hold: 'spi_ce0' - 82. Fast 1200mV 0C Model Hold: 'ad9866_clk' - 83. Fast 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx_inst|done' - 84. Fast 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' - 85. Fast 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' - 86. Fast 1200mV 0C Model Hold: 'clk_10mhz' - 87. Fast 1200mV 0C Model Hold: 'spi_sck' - 88. Fast 1200mV 0C Model Hold: 'spi_ce1' - 89. Fast 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' - 90. Fast 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' + 81. Fast 1200mV 0C Model Hold: 'ad9866_clk' + 82. Fast 1200mV 0C Model Hold: 'spi_ce1' + 83. Fast 1200mV 0C Model Hold: 'spi_ce0' + 84. Fast 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx_inst|done' + 85. Fast 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' + 86. Fast 1200mV 0C Model Hold: 'spi_sck' + 87. Fast 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' + 88. Fast 1200mV 0C Model Hold: 'clk_10mhz' + 89. Fast 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' + 90. Fast 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' 91. Fast 1200mV 0C Model Hold: 'virt_ad9866_rxclk' 92. Fast 1200mV 0C Model Metastability Summary 93. Multicorner Timing Analysis Summary @@ -158,12 +158,12 @@ agreement for further details. ; Number detected on machine ; 4 ; ; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.28 ; +; Average used ; 1.26 ; ; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 27.8% ; +; Processor 2 ; 26.4% ; +----------------------------+-------------+ @@ -172,7 +172,7 @@ agreement for further details. +--------------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +--------------------+--------+--------------------------+ -; rtl/radioberry.sdc ; OK ; Sat Feb 24 19:55:11 2018 ; +; rtl/radioberry.sdc ; OK ; Fri Mar 09 21:38:03 2018 ; +--------------------+--------+--------------------------+ @@ -204,14 +204,14 @@ agreement for further details. +------------+-----------------+-------------------------------------------------------------+---------------------------------------------------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+-------------------------------------------------------------+---------------------------------------------------+ -; 80.81 MHz ; 80.81 MHz ; ad9866_clk ; ; -; 103.52 MHz ; 103.52 MHz ; spi_sck ; ; -; 108.05 MHz ; 108.05 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; ; -; 123.62 MHz ; 123.62 MHz ; clk_10mhz ; ; -; 136.0 MHz ; 136.0 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; ; -; 147.67 MHz ; 147.67 MHz ; ad9866:ad9866_inst|dut1_pc[0] ; ; -; 209.82 MHz ; 63.75 MHz ; spi_ce0 ; limit due to minimum port rate restriction (tmin) ; -; 272.78 MHz ; 63.75 MHz ; spi_ce1 ; limit due to minimum port rate restriction (tmin) ; +; 77.8 MHz ; 77.8 MHz ; spi_sck ; ; +; 80.26 MHz ; 80.26 MHz ; ad9866_clk ; ; +; 96.57 MHz ; 96.57 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; ; +; 124.52 MHz ; 124.52 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; ; +; 135.87 MHz ; 135.87 MHz ; clk_10mhz ; ; +; 139.59 MHz ; 139.59 MHz ; ad9866:ad9866_inst|dut1_pc[0] ; ; +; 194.29 MHz ; 63.75 MHz ; spi_ce1 ; limit due to minimum port rate restriction (tmin) ; +; 234.47 MHz ; 63.75 MHz ; spi_ce0 ; limit due to minimum port rate restriction (tmin) ; +------------+-----------------+-------------------------------------------------------------+---------------------------------------------------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -227,17 +227,17 @@ HTML report is unavailable in plain text report export. +-------------------------------------------------------------+-----------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------------------------------------------------------------+-----------+---------------+ -; spi_sck ; 0.283 ; 0.000 ; -; spi_ce0 ; 0.286 ; 0.000 ; -; ad9866_clk ; 0.646 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 0.732 ; 0.000 ; -; virt_ad9866_rxclk ; 1.131 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 1.487 ; 0.000 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 1.614 ; 0.000 ; -; clk_10mhz ; 91.911 ; 0.000 ; -; spi_ce1 ; 2496.334 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2599.539 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33325.980 ; 0.000 ; +; spi_sck ; 0.080 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 0.384 ; 0.000 ; +; virt_ad9866_rxclk ; 0.442 ; 0.000 ; +; spi_ce0 ; 0.515 ; 0.000 ; +; ad9866_clk ; 0.550 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 1.349 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 1.418 ; 0.000 ; +; clk_10mhz ; 92.640 ; 0.000 ; +; spi_ce1 ; 2494.853 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2598.989 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33325.302 ; 0.000 ; +-------------------------------------------------------------+-----------+---------------+ @@ -246,17 +246,17 @@ HTML report is unavailable in plain text report export. +-------------------------------------------------------------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------------------------------------------------------------+--------+---------------+ -; spi_ce0 ; 0.258 ; 0.000 ; -; ad9866_clk ; 0.344 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.454 ; 0.000 ; +; spi_ce1 ; 0.370 ; 0.000 ; +; ad9866_clk ; 0.385 ; 0.000 ; +; spi_ce0 ; 0.426 ; 0.000 ; +; spi_sck ; 0.447 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.454 ; 0.000 ; ; clk_10mhz ; 0.454 ; 0.000 ; -; spi_ce1 ; 0.476 ; 0.000 ; -; spi_sck ; 0.476 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 0.564 ; 0.000 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 1.174 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 1.564 ; 0.000 ; -; virt_ad9866_rxclk ; 14.885 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.455 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 0.709 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 1.524 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 1.759 ; 0.000 ; +; virt_ad9866_rxclk ; 15.143 ; 0.000 ; +-------------------------------------------------------------+--------+---------------+ @@ -279,341 +279,125 @@ No paths to report. +-------------------------------------------------------------+-----------+---------------+ ; ad9866_rxclk ; -2.666 ; -2.666 ; ; ad9866_txclk ; -2.666 ; -2.666 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 4.607 ; 0.000 ; -; ad9866_clk ; 5.751 ; 0.000 ; -; spi_sck ; 31.545 ; 0.000 ; -; clk_10mhz ; 49.516 ; 0.000 ; -; spi_ce0 ; 1248.952 ; 0.000 ; -; spi_ce1 ; 1249.122 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 1249.363 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 1249.369 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2603.373 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 16665.873 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 4.642 ; 0.000 ; +; ad9866_clk ; 5.747 ; 0.000 ; +; spi_sck ; 31.573 ; 0.000 ; +; clk_10mhz ; 49.555 ; 0.000 ; +; spi_ce0 ; 1248.954 ; 0.000 ; +; spi_ce1 ; 1249.077 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 1249.371 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 1249.378 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2603.370 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 16665.870 ; 0.000 ; +-------------------------------------------------------------+-----------+---------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'spi_sck' ; -+-------+----------------------------------------------------------------------------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------------------------------------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.283 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[32] ; spi_ce0 ; spi_sck ; 2.000 ; 3.616 ; 5.324 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[3] ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[5] ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[0] ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[2] ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[6] ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[4] ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[1] ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.288 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|done ; spi_ce0 ; spi_sck ; 2.000 ; 3.613 ; 5.316 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[8] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[5] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.315 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_ce1 ; spi_sck ; 2.000 ; 3.468 ; 5.144 ; -; 0.367 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[31] ; spi_ce0 ; spi_sck ; 2.000 ; 3.456 ; 5.080 ; -; 0.367 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[30] ; spi_ce0 ; spi_sck ; 2.000 ; 3.456 ; 5.080 ; -; 0.367 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_ce0 ; spi_sck ; 2.000 ; 3.456 ; 5.080 ; -; 0.367 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[40] ; spi_ce0 ; spi_sck ; 2.000 ; 3.456 ; 5.080 ; -; 0.385 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[36] ; spi_ce0 ; spi_sck ; 2.000 ; 3.646 ; 5.252 ; -; 0.388 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[20] ; spi_ce1 ; spi_sck ; 2.000 ; 3.613 ; 5.216 ; -; 0.388 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_ce1 ; spi_sck ; 2.000 ; 3.613 ; 5.216 ; -; 0.388 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_ce1 ; spi_sck ; 2.000 ; 3.613 ; 5.216 ; -; 0.388 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[28] ; spi_ce1 ; spi_sck ; 2.000 ; 3.613 ; 5.216 ; -; 0.388 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[27] ; spi_ce1 ; spi_sck ; 2.000 ; 3.613 ; 5.216 ; -; 0.388 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_ce1 ; spi_sck ; 2.000 ; 3.613 ; 5.216 ; -; 0.388 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_ce1 ; spi_sck ; 2.000 ; 3.613 ; 5.216 ; -; 0.394 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[34] ; spi_ce0 ; spi_sck ; 2.000 ; 3.656 ; 5.253 ; -; 0.394 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[37] ; spi_ce0 ; spi_sck ; 2.000 ; 3.656 ; 5.253 ; -; 0.394 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[33] ; spi_ce0 ; spi_sck ; 2.000 ; 3.656 ; 5.253 ; -; 0.394 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_ce0 ; spi_sck ; 2.000 ; 3.656 ; 5.253 ; -; 0.402 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_ce0 ; spi_sck ; 4.000 ; -0.406 ; 3.183 ; -; 0.437 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.157 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[29] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[30] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[28] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[27] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[26] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[16] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[18] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[17] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[19] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[20] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[21] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.444 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_ce1 ; spi_sck ; 3.000 ; 3.187 ; 5.734 ; -; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_ce0 ; spi_sck ; 2.000 ; 3.314 ; 4.855 ; -; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_ce0 ; spi_sck ; 2.000 ; 3.314 ; 4.855 ; -; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_ce0 ; spi_sck ; 2.000 ; 3.314 ; 4.855 ; -; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[17] ; spi_ce0 ; spi_sck ; 2.000 ; 3.314 ; 4.855 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[14] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[0] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.463 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; spi_ce1 ; spi_sck ; 2.000 ; 3.603 ; 5.131 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[10] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.466 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_ce1 ; spi_sck ; 2.000 ; 3.673 ; 5.198 ; -; 0.508 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[17] ; spi_ce1 ; spi_sck ; 2.000 ; 3.682 ; 5.165 ; -; 0.508 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[16] ; spi_ce1 ; spi_sck ; 2.000 ; 3.682 ; 5.165 ; -; 0.508 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_ce1 ; spi_sck ; 2.000 ; 3.682 ; 5.165 ; -; 0.508 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_ce1 ; spi_sck ; 2.000 ; 3.682 ; 5.165 ; -; 0.508 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_ce1 ; spi_sck ; 2.000 ; 3.682 ; 5.165 ; -; 0.508 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[18] ; spi_ce1 ; spi_sck ; 2.000 ; 3.682 ; 5.165 ; -; 0.508 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[19] ; spi_ce1 ; spi_sck ; 2.000 ; 3.682 ; 5.165 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[37] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[41] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[45] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[39] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[40] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[38] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[36] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[47] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[46] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.516 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[44] ; spi_ce1 ; spi_sck ; 3.000 ; 3.140 ; 5.615 ; -; 0.559 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[4] ; spi_ce1 ; spi_sck ; 2.000 ; 3.275 ; 4.707 ; -; 0.559 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[3] ; spi_ce1 ; spi_sck ; 2.000 ; 3.275 ; 4.707 ; -; 0.559 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[5] ; spi_ce1 ; spi_sck ; 2.000 ; 3.275 ; 4.707 ; -; 0.559 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[6] ; spi_ce1 ; spi_sck ; 2.000 ; 3.275 ; 4.707 ; -; 0.559 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[0] ; spi_ce1 ; spi_sck ; 2.000 ; 3.275 ; 4.707 ; -+-------+----------------------------------------------------------------------------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'spi_ce0' ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.286 ; spi_slave:spi_slave_rx_inst|rdata[15] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.065 ; 1.687 ; -; 0.302 ; spi_slave:spi_slave_rx_inst|rdata[13] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.065 ; 1.671 ; -; 0.341 ; spi_slave:spi_slave_rx_inst|rdata[12] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.065 ; 1.632 ; -; 0.349 ; spi_slave:spi_slave_rx_inst|rdata[14] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.065 ; 1.624 ; -; 0.463 ; spi_slave:spi_slave_rx_inst|rdata[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.218 ; 1.793 ; -; 0.518 ; spi_slave:spi_slave_rx_inst|rdata[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.218 ; 1.738 ; -; 0.560 ; spi_slave:spi_slave_rx_inst|rdata[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.195 ; 1.673 ; -; 0.583 ; spi_slave:spi_slave_rx_inst|rdata[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.195 ; 1.650 ; -; 0.584 ; spi_slave:spi_slave_rx_inst|rdata[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.218 ; 1.672 ; -; 0.585 ; spi_slave:spi_slave_rx_inst|rdata[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.218 ; 1.671 ; -; 0.592 ; spi_slave:spi_slave_rx_inst|rdata[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.195 ; 1.641 ; -; 0.625 ; spi_slave:spi_slave_rx_inst|rdata[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.195 ; 1.608 ; -; 2.103 ; spi_slave:spi_slave_rx_inst|rdata[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.195 ; 2.130 ; -; 2.302 ; spi_slave:spi_slave_rx_inst|rdata[20] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.227 ; 1.963 ; -; 2.425 ; spi_slave:spi_slave_rx_inst|rdata[19] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.259 ; 1.872 ; -; 2.436 ; spi_slave:spi_slave_rx_inst|rdata[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.195 ; 1.797 ; -; 2.494 ; spi_slave:spi_slave_rx_inst|rdata[30] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.130 ; 1.674 ; -; 2.504 ; spi_slave:spi_slave_rx_inst|rdata[31] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.130 ; 1.664 ; -; 2.517 ; spi_slave:spi_slave_rx_inst|rdata[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.195 ; 1.716 ; -; 2.552 ; spi_slave:spi_slave_rx_inst|rdata[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.195 ; 1.681 ; -; 2.586 ; spi_slave:spi_slave_rx_inst|rdata[26] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.254 ; 1.706 ; -; 2.596 ; spi_slave:spi_slave_rx_inst|rdata[25] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.191 ; 1.633 ; -; 2.599 ; spi_slave:spi_slave_rx_inst|rdata[22] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.227 ; 1.666 ; -; 2.607 ; spi_slave:spi_slave_rx_inst|rdata[27] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.254 ; 1.685 ; -; 2.612 ; spi_slave:spi_slave_rx_inst|rdata[24] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.191 ; 1.617 ; -; 2.618 ; spi_slave:spi_slave_rx_inst|rdata[17] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.322 ; 1.742 ; -; 2.650 ; spi_slave:spi_slave_rx_inst|rdata[23] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.227 ; 1.615 ; -; 2.668 ; spi_slave:spi_slave_rx_inst|rdata[21] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.227 ; 1.597 ; -; 2.715 ; spi_slave:spi_slave_rx_inst|rdata[18] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.259 ; 1.582 ; -; 3.014 ; spi_slave:spi_slave_rx_inst|rdata[29] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.215 ; 1.239 ; -; 3.041 ; spi_slave:spi_slave_rx_inst|rdata[28] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.278 ; 1.275 ; -; 3.092 ; spi_slave:spi_slave_rx_inst|rdata[16] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.285 ; 1.231 ; -; 2495.234 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.014 ; 4.773 ; -; 2495.286 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.014 ; 4.721 ; -; 2495.393 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.654 ; -; 2495.445 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.602 ; -; 2495.503 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.014 ; 4.504 ; -; 2495.555 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.014 ; 4.452 ; -; 2495.568 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.014 ; 4.439 ; -; 2495.679 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.368 ; -; 2495.699 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.348 ; -; 2495.727 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.320 ; -; 2495.731 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.316 ; -; 2495.751 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.296 ; -; 2495.818 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.229 ; -; 2495.837 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.014 ; 4.170 ; -; 2495.870 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.177 ; -; 2496.013 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.034 ; -; 2496.033 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 4.014 ; -; 2496.152 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.026 ; 3.895 ; -; 2496.158 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.201 ; 3.662 ; -; 2496.159 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.201 ; 3.661 ; -; 2496.186 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.201 ; 3.634 ; -; 2496.187 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.201 ; 3.633 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.313 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.147 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[3] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[8] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[6] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[7] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -; 2496.315 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.145 ; 3.476 ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'ad9866_clk' ; -+-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ -; 0.646 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.236 ; 7.638 ; -; 0.664 ; ad9866_clk ; ad9866_txclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 5.346 ; -; 0.664 ; ad9866_clk ; ad9866_rxclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 5.346 ; -; 0.938 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.236 ; 7.346 ; -; 0.974 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.090 ; 7.456 ; -; 1.020 ; ad9866_adio[4] ; adcpipe[1][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.195 ; 5.196 ; -; 1.034 ; ad9866_adio[7] ; adcpipe[0][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.222 ; 5.209 ; -; 1.052 ; ad9866_adio[6] ; adcpipe[1][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.947 ; 4.916 ; -; 1.053 ; ad9866_adio[8] ; adcpipe[0][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.222 ; 5.190 ; -; 1.065 ; ad9866_adio[8] ; adcpipe[1][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.947 ; 4.903 ; -; 1.071 ; ad9866_adio[10] ; adcpipe[0][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.222 ; 5.172 ; -; 1.131 ; ad9866_adio[0] ; adcpipe[0][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.920 ; 4.810 ; -; 1.134 ; ad9866_adio[4] ; adcpipe[0][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.244 ; 5.131 ; -; 1.154 ; ad9866_adio[6] ; adcpipe[0][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.222 ; 5.089 ; -; 1.162 ; ad9866_adio[1] ; adcpipe[1][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.025 ; 4.884 ; -; 1.201 ; ad9866_adio[0] ; adcpipe[1][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.981 ; 4.801 ; -; 1.204 ; ad9866_adio[2] ; adcpipe[1][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.323 ; 5.140 ; -; 1.213 ; ad9866_adio[2] ; adcpipe[0][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.220 ; 5.028 ; -; 1.214 ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_miso ; spi_sck ; ad9866_clk ; 11.000 ; -3.605 ; 5.651 ; -; 1.227 ; ad9866_adio[10] ; adcpipe[1][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.947 ; 4.741 ; -; 1.246 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.047 ; 7.227 ; -; 1.257 ; ad9866_adio[7] ; adcpipe[1][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.025 ; 4.789 ; -; 1.259 ; ad9866_adio[3] ; adcpipe[1][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.091 ; 4.853 ; -; 1.261 ; ad9866_adio[11] ; adcpipe[1][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.221 ; 4.981 ; -; 1.261 ; ad9866_adio[11] ; adcpipe[0][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.221 ; 4.981 ; -; 1.288 ; ad9866_adio[9] ; adcpipe[1][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.091 ; 4.824 ; -; 1.288 ; ad9866_adio[9] ; adcpipe[0][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.091 ; 4.824 ; -; 1.310 ; ad9866_adio[5] ; adcpipe[1][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.050 ; 4.761 ; -; 1.311 ; ad9866_adio[5] ; adcpipe[0][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.050 ; 4.760 ; -; 1.349 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.090 ; 7.081 ; -; 1.357 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.124 ; 7.039 ; -; 1.399 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.298 ; 6.823 ; -; 1.400 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.000 ; 7.120 ; -; 1.417 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.047 ; 7.056 ; -; 1.440 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.034 ; 7.046 ; -; 1.456 ; ad9866_adio[1] ; adcpipe[0][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.804 ; 4.369 ; -; 1.513 ; ad9866_adio[3] ; adcpipe[0][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.090 ; 4.598 ; -; 1.547 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.047 ; 6.926 ; -; 1.566 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.013 ; 6.941 ; -; 1.575 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.013 ; 6.932 ; -; 1.585 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.660 ; 7.275 ; -; 1.599 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.106 ; 6.815 ; -; 1.634 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.660 ; 7.226 ; -; 1.635 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.620 ; 7.265 ; -; 1.636 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.368 ; 6.516 ; -; 1.645 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.028 ; 6.847 ; -; 1.743 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.620 ; 7.157 ; -; 1.745 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.657 ; 7.118 ; -; 1.751 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.755 ; 10.994 ; -; 1.755 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.657 ; 7.108 ; -; 1.764 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.124 ; 6.632 ; -; 1.769 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.047 ; 6.704 ; -; 1.795 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.937 ; 6.788 ; -; 1.814 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.937 ; 6.769 ; -; 1.820 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.034 ; 6.666 ; -; 1.836 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.298 ; 6.386 ; -; 1.873 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.657 ; 6.990 ; -; 1.882 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.657 ; 6.981 ; -; 1.923 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.937 ; 6.660 ; -; 1.923 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.013 ; 6.584 ; -; 1.934 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.013 ; 6.573 ; -; 1.938 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.972 ; 6.610 ; -; 1.942 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.937 ; 6.641 ; -; 1.958 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.972 ; 6.590 ; -; 1.974 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.660 ; 6.886 ; -; 1.974 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.106 ; 6.440 ; -; 2.014 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.718 ; 10.768 ; -; 2.034 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.620 ; 6.866 ; -; 2.102 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.660 ; 6.758 ; -; 2.182 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.755 ; 10.563 ; -; 2.330 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.624 ; 10.546 ; -; 2.334 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.972 ; 6.214 ; -; 2.395 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.028 ; 6.097 ; -; 2.415 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.972 ; 6.133 ; -; 2.430 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.620 ; 6.470 ; -; 2.432 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.718 ; 10.350 ; -; 2.725 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.624 ; 10.151 ; -; 2.976 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.562 ; 9.962 ; -; 3.017 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.624 ; 9.859 ; -; 3.086 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.372 ; 10.327 ; -; 3.095 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.372 ; 10.318 ; -; 3.155 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.755 ; 9.590 ; -; 3.156 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[22] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.726 ; 9.159 ; -; 3.161 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[23] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.726 ; 9.154 ; -; 3.230 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][22] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.379 ; 10.190 ; -; 3.239 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][22] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.379 ; 10.181 ; -; 3.259 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.624 ; 9.617 ; -; 3.277 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.372 ; 10.136 ; -; 3.294 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.372 ; 10.119 ; -; 3.302 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[20] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.726 ; 9.013 ; -; 3.307 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[21] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.726 ; 9.008 ; -; 3.311 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.624 ; 9.565 ; -; 3.349 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.745 ; 9.406 ; -; 3.350 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[12] ; transmitter:transmitter_inst|out_data[12] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.690 ; 2.491 ; -; 3.367 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[3] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[23] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.726 ; 8.948 ; -; 3.401 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[40] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.372 ; 10.012 ; -; 3.406 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[3] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[22] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.726 ; 8.909 ; -; 3.409 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][21] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.103 ; 9.735 ; -; 3.412 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.103 ; 9.732 ; -; 3.413 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][22] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.379 ; 10.007 ; -+-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Setup: 'spi_sck' ; ++-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.080 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_ce1 ; spi_sck ; 2.000 ; 3.631 ; 5.542 ; +; 0.080 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_ce1 ; spi_sck ; 2.000 ; 3.631 ; 5.542 ; +; 0.080 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_ce1 ; spi_sck ; 2.000 ; 3.631 ; 5.542 ; +; 0.080 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_ce1 ; spi_sck ; 2.000 ; 3.631 ; 5.542 ; +; 0.080 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_ce1 ; spi_sck ; 2.000 ; 3.631 ; 5.542 ; +; 0.080 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_ce1 ; spi_sck ; 2.000 ; 3.631 ; 5.542 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[27] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.154 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_ce1 ; spi_sck ; 2.000 ; 3.655 ; 5.492 ; +; 0.182 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_ce1 ; spi_sck ; 2.000 ; 3.560 ; 5.369 ; +; 0.182 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[28] ; spi_ce1 ; spi_sck ; 2.000 ; 3.560 ; 5.369 ; +; 0.217 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_ce1 ; spi_sck ; 2.000 ; 3.728 ; 5.502 ; +; 0.217 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_ce1 ; spi_sck ; 2.000 ; 3.728 ; 5.502 ; +; 0.217 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_ce1 ; spi_sck ; 2.000 ; 3.728 ; 5.502 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[39] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[41] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[47] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[42] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.374 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_ce1 ; spi_sck ; 2.000 ; 3.693 ; 5.310 ; +; 0.379 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[3] ; spi_ce1 ; spi_sck ; 2.000 ; 3.232 ; 4.844 ; +; 0.379 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[4] ; spi_ce1 ; spi_sck ; 2.000 ; 3.232 ; 4.844 ; +; 0.379 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[5] ; spi_ce1 ; spi_sck ; 2.000 ; 3.232 ; 4.844 ; +; 0.379 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[6] ; spi_ce1 ; spi_sck ; 2.000 ; 3.232 ; 4.844 ; +; 0.379 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[0] ; spi_ce1 ; spi_sck ; 2.000 ; 3.232 ; 4.844 ; +; 0.379 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[1] ; spi_ce1 ; spi_sck ; 2.000 ; 3.232 ; 4.844 ; +; 0.379 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[2] ; spi_ce1 ; spi_sck ; 2.000 ; 3.232 ; 4.844 ; +; 0.390 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[0] ; spi_ce1 ; spi_sck ; 2.000 ; 3.492 ; 5.093 ; +; 0.390 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[18] ; spi_ce1 ; spi_sck ; 2.000 ; 3.492 ; 5.093 ; +; 0.404 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[17] ; spi_ce1 ; spi_sck ; 2.000 ; 3.488 ; 5.075 ; +; 0.404 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; spi_ce1 ; spi_sck ; 2.000 ; 3.488 ; 5.075 ; +; 0.404 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[8] ; spi_ce1 ; spi_sck ; 2.000 ; 3.488 ; 5.075 ; +; 0.404 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_ce1 ; spi_sck ; 2.000 ; 3.488 ; 5.075 ; +; 0.404 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[5] ; spi_ce1 ; spi_sck ; 2.000 ; 3.488 ; 5.075 ; +; 0.404 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[19] ; spi_ce1 ; spi_sck ; 2.000 ; 3.488 ; 5.075 ; +; 0.404 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[20] ; spi_ce1 ; spi_sck ; 2.000 ; 3.488 ; 5.075 ; +; 0.422 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_ce0 ; spi_sck ; 2.000 ; 3.304 ; 4.873 ; +; 0.422 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_ce0 ; spi_sck ; 2.000 ; 3.304 ; 4.873 ; +; 0.422 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_ce0 ; spi_sck ; 2.000 ; 3.304 ; 4.873 ; +; 0.422 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_ce0 ; spi_sck ; 2.000 ; 3.304 ; 4.873 ; +; 0.422 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[0] ; spi_ce0 ; spi_sck ; 2.000 ; 3.304 ; 4.873 ; +; 0.422 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_ce0 ; spi_sck ; 2.000 ; 3.304 ; 4.873 ; +; 0.422 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[31] ; spi_ce0 ; spi_sck ; 2.000 ; 3.304 ; 4.873 ; +; 0.449 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|done ; spi_ce1 ; spi_sck ; 2.000 ; 3.237 ; 4.779 ; +; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[5] ; spi_ce0 ; spi_sck ; 2.000 ; 3.325 ; 4.866 ; +; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[12] ; spi_ce0 ; spi_sck ; 2.000 ; 3.325 ; 4.866 ; +; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[10] ; spi_ce0 ; spi_sck ; 2.000 ; 3.325 ; 4.866 ; +; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[6] ; spi_ce0 ; spi_sck ; 2.000 ; 3.325 ; 4.866 ; +; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[7] ; spi_ce0 ; spi_sck ; 2.000 ; 3.325 ; 4.866 ; +; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[8] ; spi_ce0 ; spi_sck ; 2.000 ; 3.325 ; 4.866 ; +; 0.450 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[9] ; spi_ce0 ; spi_sck ; 2.000 ; 3.325 ; 4.866 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[3] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[4] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[5] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[0] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[6] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[2] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rreg[30] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[1] ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.451 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|done ; spi_ce0 ; spi_sck ; 2.000 ; 3.725 ; 5.265 ; +; 0.453 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_ce1 ; spi_sck ; 2.000 ; 3.543 ; 5.081 ; +; 0.453 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[16] ; spi_ce1 ; spi_sck ; 2.000 ; 3.543 ; 5.081 ; +; 0.453 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_ce1 ; spi_sck ; 2.000 ; 3.543 ; 5.081 ; +; 0.453 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[14] ; spi_ce1 ; spi_sck ; 2.000 ; 3.543 ; 5.081 ; +; 0.453 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_ce1 ; spi_sck ; 2.000 ; 3.543 ; 5.081 ; +; 0.453 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_ce1 ; spi_sck ; 2.000 ; 3.543 ; 5.081 ; +; 0.453 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[10] ; spi_ce1 ; spi_sck ; 2.000 ; 3.543 ; 5.081 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[39] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[37] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[38] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[36] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[30] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[45] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[44] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[40] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[41] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.490 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_ce1 ; spi_sck ; 3.000 ; 3.195 ; 5.696 ; +; 0.501 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_ce0 ; spi_sck ; 2.000 ; 3.617 ; 5.107 ; +; 0.501 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_ce0 ; spi_sck ; 2.000 ; 3.617 ; 5.107 ; +; 0.501 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_ce0 ; spi_sck ; 2.000 ; 3.617 ; 5.107 ; +; 0.501 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_ce0 ; spi_sck ; 2.000 ; 3.617 ; 5.107 ; +; 0.501 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_ce0 ; spi_sck ; 2.000 ; 3.617 ; 5.107 ; ++-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -621,106 +405,106 @@ No paths to report. +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ -; 0.732 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.861 ; 2.149 ; -; 0.733 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.855 ; 2.154 ; -; 0.747 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.847 ; 2.148 ; -; 0.807 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.847 ; 2.088 ; -; 0.819 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.704 ; 2.219 ; -; 0.820 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.834 ; 2.088 ; -; 0.849 ; spi_slave:spi_slave_rx2_inst|rdata[46] ; iambic_mode[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.805 ; 2.337 ; -; 0.889 ; spi_slave:spi_slave_rx2_inst|rdata[47] ; iambic_mode[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.805 ; 2.297 ; -; 0.892 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.706 ; 2.144 ; -; 0.892 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.706 ; 2.144 ; -; 0.900 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.853 ; 1.989 ; -; 0.904 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.693 ; 2.145 ; -; 0.912 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.693 ; 2.137 ; -; 0.941 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.921 ; 1.880 ; -; 1.005 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.931 ; 1.806 ; -; 1.008 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.811 ; -; 1.011 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.921 ; 1.810 ; -; 1.012 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.921 ; 1.809 ; -; 1.017 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.847 ; 1.878 ; -; 1.028 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.781 ; -; 1.029 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.834 ; 1.879 ; -; 1.031 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.921 ; 1.790 ; -; 1.053 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.847 ; 1.842 ; -; 1.062 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.834 ; 1.846 ; -; 1.063 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.916 ; 1.763 ; -; 1.071 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.748 ; -; 1.072 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.834 ; 1.836 ; -; 1.085 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.845 ; 1.812 ; -; 1.087 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.845 ; 1.810 ; -; 1.090 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.863 ; 1.789 ; -; 1.092 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.861 ; 1.789 ; -; 1.099 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.849 ; 1.794 ; -; 1.101 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.834 ; 1.807 ; -; 1.103 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.906 ; 1.733 ; -; 1.105 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.929 ; 1.708 ; -; 1.105 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.927 ; 1.710 ; -; 1.106 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.861 ; 1.775 ; -; 1.106 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.855 ; 1.781 ; -; 1.114 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.847 ; 1.781 ; -; 1.115 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.694 ; -; 1.117 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.931 ; 1.694 ; -; 1.120 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.906 ; 1.716 ; -; 1.122 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.918 ; 1.702 ; -; 1.126 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.863 ; 1.753 ; -; 1.127 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.836 ; 1.779 ; -; 1.131 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.919 ; 1.692 ; -; 1.136 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.861 ; 1.745 ; -; 1.138 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.851 ; 1.753 ; -; 1.146 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.861 ; 1.735 ; -; 1.153 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.855 ; 1.734 ; -; 1.157 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.863 ; 1.722 ; -; 1.160 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.845 ; 1.737 ; -; 1.160 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.855 ; 1.727 ; -; 1.161 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.706 ; 1.875 ; -; 1.161 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.706 ; 1.875 ; -; 1.173 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.693 ; 1.876 ; -; 1.177 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.851 ; 1.714 ; -; 1.177 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.863 ; 1.702 ; -; 1.191 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.693 ; 1.858 ; -; 1.193 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.861 ; 1.688 ; -; 1.201 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.704 ; 1.837 ; -; 1.207 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.706 ; 1.829 ; -; 1.207 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.811 ; 1.973 ; -; 1.211 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.693 ; 1.838 ; -; 1.213 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.846 ; 1.683 ; -; 1.219 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.693 ; 1.830 ; -; 1.220 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.706 ; 1.816 ; -; 1.221 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.712 ; 1.809 ; -; 1.233 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.706 ; 1.803 ; -; 1.245 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.693 ; 1.804 ; -; 1.271 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.695 ; 1.776 ; -; 1.282 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.670 ; 2.039 ; -; 1.297 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.811 ; 1.883 ; -; 1.309 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.710 ; 1.723 ; -; 1.313 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.816 ; 1.862 ; -; 1.329 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.710 ; 1.703 ; -; 1.330 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.479 ; -; 1.335 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.710 ; 1.697 ; -; 1.337 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.663 ; 1.991 ; -; 1.341 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.710 ; 1.691 ; -; 1.346 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.935 ; 1.461 ; -; 1.349 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.710 ; 1.683 ; -; 1.351 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.468 ; -; 1.354 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.455 ; -; 1.360 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.935 ; 1.447 ; -; 1.372 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.919 ; 1.451 ; -; 1.374 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.919 ; 1.449 ; -; 1.382 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.906 ; 1.454 ; -; 1.387 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.918 ; 1.437 ; -; 1.387 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.906 ; 1.449 ; -; 1.396 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.423 ; -; 1.398 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.863 ; 1.481 ; -; 1.406 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.929 ; 1.407 ; -; 1.407 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.927 ; 1.408 ; -; 1.419 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.809 ; 1.763 ; -; 1.423 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.919 ; 1.400 ; -; 1.430 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.849 ; 1.463 ; -; 1.432 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.849 ; 1.461 ; -; 1.438 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.863 ; 1.441 ; -; 1.438 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.855 ; 1.449 ; +; 0.384 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.479 ; 2.879 ; +; 0.391 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.477 ; 2.874 ; +; 0.403 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.727 ; 2.612 ; +; 0.422 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.669 ; +; 0.472 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.473 ; 2.797 ; +; 0.497 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.594 ; +; 0.500 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.479 ; 2.763 ; +; 0.506 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.478 ; 2.758 ; +; 0.506 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.583 ; +; 0.508 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.583 ; +; 0.509 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.729 ; 2.504 ; +; 0.514 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.477 ; 2.751 ; +; 0.530 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.833 ; 2.628 ; +; 0.537 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.724 ; 2.481 ; +; 0.537 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.552 ; +; 0.538 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.477 ; 2.727 ; +; 0.543 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.546 ; +; 0.544 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.473 ; 2.725 ; +; 0.549 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.473 ; 2.720 ; +; 0.562 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.529 ; +; 0.567 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.524 ; +; 0.570 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.521 ; +; 0.588 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.501 ; +; 0.595 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.494 ; +; 0.603 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.486 ; +; 0.627 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.532 ; 2.583 ; +; 0.654 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.554 ; 2.534 ; +; 0.658 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.552 ; 2.532 ; +; 0.690 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.532 ; 2.520 ; +; 0.701 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.960 ; 2.081 ; +; 0.728 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.473 ; 2.541 ; +; 0.732 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.532 ; 2.478 ; +; 0.745 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.532 ; 2.465 ; +; 0.759 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.857 ; 2.126 ; +; 0.767 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.548 ; 2.427 ; +; 0.767 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.532 ; 2.443 ; +; 0.770 ; spi_slave:spi_slave_rx2_inst|rdata[38] ; keyer_weight[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.949 ; 2.023 ; +; 0.784 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.310 ; +; 0.786 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.473 ; 2.483 ; +; 0.789 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.723 ; 2.230 ; +; 0.798 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.647 ; 2.297 ; +; 0.799 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.290 ; +; 0.800 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.647 ; 2.295 ; +; 0.804 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.531 ; 2.407 ; +; 0.806 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.786 ; 2.150 ; +; 0.810 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.284 ; +; 0.810 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.786 ; 2.146 ; +; 0.820 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.474 ; 2.448 ; +; 0.824 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.265 ; +; 0.827 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.786 ; 2.129 ; +; 0.844 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.531 ; 2.367 ; +; 0.850 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.549 ; 2.343 ; +; 0.867 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.531 ; 2.344 ; +; 0.868 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.653 ; 2.221 ; +; 0.870 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.221 ; +; 0.870 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.647 ; 2.225 ; +; 0.883 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.786 ; 2.073 ; +; 0.887 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.532 ; 2.323 ; +; 0.890 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.804 ; 2.048 ; +; 0.892 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.199 ; +; 0.892 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.474 ; 2.376 ; +; 0.899 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.531 ; 2.312 ; +; 0.909 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.474 ; 2.359 ; +; 0.913 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.532 ; 2.297 ; +; 0.917 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.174 ; +; 0.924 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.474 ; 2.344 ; +; 0.926 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.474 ; 2.342 ; +; 0.926 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.857 ; 1.959 ; +; 0.930 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.039 ; 1.773 ; +; 0.934 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.054 ; 1.754 ; +; 0.940 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.050 ; 1.752 ; +; 0.940 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.154 ; +; 0.945 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.793 ; 2.004 ; +; 0.949 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.804 ; 1.989 ; +; 0.958 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.531 ; 2.253 ; +; 0.959 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.805 ; +; 0.975 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.963 ; 1.804 ; +; 0.980 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.784 ; +; 0.981 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.789 ; 1.972 ; +; 0.983 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.781 ; +; 0.984 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.960 ; 1.798 ; +; 0.985 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.779 ; +; 0.988 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.036 ; 1.718 ; +; 0.994 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.789 ; 1.959 ; +; 1.006 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.758 ; +; 1.008 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.756 ; +; 1.010 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.963 ; 1.769 ; +; 1.010 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.963 ; 1.769 ; +; 1.018 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.746 ; +; 1.023 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.949 ; 1.770 ; +; 1.025 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.963 ; 1.754 ; +; 1.031 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.973 ; 1.738 ; +; 1.039 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.857 ; 1.846 ; +; 1.047 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.949 ; 1.746 ; +; 1.048 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.857 ; 1.837 ; +; 1.048 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.531 ; 2.163 ; +; 1.049 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.963 ; 1.730 ; +; 1.050 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.963 ; 1.729 ; +; 1.054 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.963 ; 1.725 ; +; 1.057 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.978 ; 1.707 ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ @@ -729,126 +513,342 @@ No paths to report. +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -; 1.131 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.294 ; 8.555 ; -; 1.245 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.324 ; 8.411 ; -; 1.450 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.455 ; 8.075 ; -; 1.815 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.324 ; 7.841 ; -; 3.577 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.866 ; 5.537 ; -; 3.880 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.455 ; 5.645 ; -; 3.886 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.455 ; 5.639 ; -; 3.915 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.294 ; 5.771 ; -; 4.342 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.294 ; 5.344 ; -; 4.472 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.294 ; 5.214 ; -; 4.715 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.294 ; 4.971 ; -; 4.722 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.294 ; 4.964 ; +; 0.442 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.630 ; 8.908 ; +; 1.524 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.197 ; 8.259 ; +; 1.586 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.993 ; 8.401 ; +; 1.678 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.197 ; 8.105 ; +; 2.663 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.630 ; 6.687 ; +; 2.785 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.934 ; 6.261 ; +; 4.006 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.197 ; 5.777 ; +; 4.103 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.197 ; 5.680 ; +; 4.159 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.197 ; 5.624 ; +; 4.189 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.628 ; 5.163 ; +; 4.275 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.197 ; 5.508 ; +; 4.416 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.263 ; 5.301 ; +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Setup: 'spi_ce0' ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.515 ; spi_slave:spi_slave_rx_inst|rdata[15] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.311 ; 1.834 ; +; 0.707 ; spi_slave:spi_slave_rx_inst|rdata[13] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.311 ; 1.642 ; +; 0.718 ; spi_slave:spi_slave_rx_inst|rdata[14] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.311 ; 1.631 ; +; 0.725 ; spi_slave:spi_slave_rx_inst|rdata[12] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.307 ; 1.620 ; +; 1.031 ; spi_slave:spi_slave_rx_inst|rdata[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.323 ; 1.330 ; +; 1.044 ; spi_slave:spi_slave_rx_inst|rdata[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.281 ; 1.275 ; +; 1.048 ; spi_slave:spi_slave_rx_inst|rdata[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.323 ; 1.313 ; +; 1.053 ; spi_slave:spi_slave_rx_inst|rdata[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.281 ; 1.266 ; +; 1.069 ; spi_slave:spi_slave_rx_inst|rdata[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.323 ; 1.292 ; +; 1.070 ; spi_slave:spi_slave_rx_inst|rdata[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.281 ; 1.249 ; +; 1.098 ; spi_slave:spi_slave_rx_inst|rdata[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.285 ; 1.225 ; +; 1.102 ; spi_slave:spi_slave_rx_inst|rdata[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.323 ; 1.259 ; +; 1.221 ; spi_slave:spi_slave_rx_inst|rdata[28] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.116 ; 2.933 ; +; 2.152 ; spi_slave:spi_slave_rx_inst|rdata[20] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.326 ; 2.212 ; +; 2.289 ; spi_slave:spi_slave_rx_inst|rdata[25] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.090 ; 1.839 ; +; 2.320 ; spi_slave:spi_slave_rx_inst|rdata[24] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.090 ; 1.808 ; +; 2.430 ; spi_slave:spi_slave_rx_inst|rdata[16] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.396 ; 2.004 ; +; 2.437 ; spi_slave:spi_slave_rx_inst|rdata[29] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.425 ; 2.026 ; +; 2.438 ; spi_slave:spi_slave_rx_inst|rdata[30] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.425 ; 2.025 ; +; 2.445 ; spi_slave:spi_slave_rx_inst|rdata[27] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.090 ; 1.683 ; +; 2.463 ; spi_slave:spi_slave_rx_inst|rdata[26] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.090 ; 1.665 ; +; 2.488 ; spi_slave:spi_slave_rx_inst|rdata[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.286 ; 1.836 ; +; 2.539 ; spi_slave:spi_slave_rx_inst|rdata[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.308 ; 1.807 ; +; 2.566 ; spi_slave:spi_slave_rx_inst|rdata[31] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.443 ; 1.915 ; +; 2.593 ; spi_slave:spi_slave_rx_inst|rdata[23] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.326 ; 1.771 ; +; 2.627 ; spi_slave:spi_slave_rx_inst|rdata[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.286 ; 1.697 ; +; 2.636 ; spi_slave:spi_slave_rx_inst|rdata[19] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.396 ; 1.798 ; +; 2.641 ; spi_slave:spi_slave_rx_inst|rdata[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.286 ; 1.683 ; +; 2.660 ; spi_slave:spi_slave_rx_inst|rdata[21] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.326 ; 1.704 ; +; 2.696 ; spi_slave:spi_slave_rx_inst|rdata[22] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.326 ; 1.668 ; +; 2.772 ; spi_slave:spi_slave_rx_inst|rdata[17] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.396 ; 1.662 ; +; 2.772 ; spi_slave:spi_slave_rx_inst|rdata[18] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.396 ; 1.662 ; +; 2495.735 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.131 ; 4.417 ; +; 2495.752 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.091 ; 4.360 ; +; 2496.008 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.222 ; 3.791 ; +; 2496.032 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.222 ; 3.767 ; +; 2496.047 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.131 ; 4.105 ; +; 2496.062 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.222 ; 3.737 ; +; 2496.064 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.091 ; 4.048 ; +; 2496.104 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.222 ; 3.695 ; +; 2496.128 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.222 ; 3.671 ; +; 2496.148 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.607 ; +; 2496.158 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.222 ; 3.641 ; +; 2496.172 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.583 ; +; 2496.202 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.553 ; +; 2496.244 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.091 ; 3.868 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.139 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[7] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[8] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[6] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.326 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[3] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.134 ; 3.476 ; +; 2496.401 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.354 ; +; 2496.418 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.337 ; +; 2496.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.330 ; +; 2496.442 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.313 ; +; 2496.446 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.131 ; 3.706 ; +; 2496.448 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.266 ; 3.307 ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Setup: 'ad9866_clk' ; ++-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ +; 0.550 ; ad9866_clk ; ad9866_txclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 5.460 ; +; 0.550 ; ad9866_clk ; ad9866_rxclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 5.460 ; +; 0.560 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.997 ; 7.963 ; +; 0.611 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.008 ; 7.901 ; +; 0.613 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.956 ; 7.951 ; +; 0.657 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 7.803 ; +; 0.662 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.967 ; 7.891 ; +; 0.698 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 7.803 ; +; 0.749 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 7.711 ; +; 0.801 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 7.700 ; +; 0.891 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.997 ; 7.632 ; +; 0.905 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.030 ; 7.585 ; +; 0.909 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.987 ; 7.624 ; +; 0.940 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.008 ; 7.572 ; +; 0.951 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.956 ; 7.613 ; +; 0.975 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 7.485 ; +; 1.001 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.967 ; 7.552 ; +; 1.020 ; ad9866_adio[8] ; adcpipe[0][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.631 ; 5.632 ; +; 1.028 ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_miso ; spi_sck ; ad9866_clk ; 11.000 ; -3.366 ; 6.076 ; +; 1.036 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 7.465 ; +; 1.078 ; ad9866_adio[2] ; adcpipe[0][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.678 ; 5.621 ; +; 1.081 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 7.379 ; +; 1.085 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.526 ; 7.909 ; +; 1.094 ; ad9866_adio[7] ; adcpipe[0][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.678 ; 5.605 ; +; 1.107 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.968 ; 7.445 ; +; 1.131 ; ad9866_adio[6] ; adcpipe[1][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.073 ; 4.963 ; +; 1.140 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 7.361 ; +; 1.140 ; ad9866_adio[10] ; adcpipe[0][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.631 ; 5.512 ; +; 1.141 ; ad9866_adio[8] ; adcpipe[1][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.096 ; 4.976 ; +; 1.145 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 7.315 ; +; 1.156 ; ad9866_adio[4] ; adcpipe[0][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.284 ; 5.149 ; +; 1.157 ; ad9866_adio[5] ; adcpipe[0][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.872 ; 4.736 ; +; 1.158 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 7.302 ; +; 1.158 ; ad9866_adio[5] ; adcpipe[1][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.872 ; 4.735 ; +; 1.161 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.672 ; 7.687 ; +; 1.173 ; ad9866_adio[9] ; adcpipe[1][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.929 ; 4.777 ; +; 1.173 ; ad9866_adio[9] ; adcpipe[0][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.929 ; 4.777 ; +; 1.178 ; ad9866_adio[0] ; adcpipe[0][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.678 ; 5.521 ; +; 1.205 ; ad9866_adio[1] ; adcpipe[0][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.380 ; 5.196 ; +; 1.207 ; ad9866_adio[2] ; adcpipe[1][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.291 ; 5.105 ; +; 1.223 ; ad9866_adio[3] ; adcpipe[0][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.678 ; 5.476 ; +; 1.226 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.876 ; 7.418 ; +; 1.232 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.913 ; 7.375 ; +; 1.250 ; ad9866_adio[7] ; adcpipe[1][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.073 ; 4.844 ; +; 1.267 ; ad9866_adio[4] ; adcpipe[1][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.073 ; 4.827 ; +; 1.279 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.030 ; 7.211 ; +; 1.290 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.537 ; 7.693 ; +; 1.303 ; ad9866_adio[6] ; adcpipe[0][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.631 ; 5.349 ; +; 1.336 ; ad9866_adio[1] ; adcpipe[1][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.073 ; 4.758 ; +; 1.344 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.908 ; 7.268 ; +; 1.376 ; ad9866_adio[11] ; adcpipe[0][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.203 ; 4.848 ; +; 1.429 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.934 ; 7.157 ; +; 1.448 ; ad9866_adio[11] ; adcpipe[1][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.096 ; 4.669 ; +; 1.469 ; ad9866_adio[0] ; adcpipe[1][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.234 ; 4.786 ; +; 1.481 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.526 ; 7.513 ; +; 1.488 ; ad9866_adio[10] ; adcpipe[1][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.053 ; 4.586 ; +; 1.515 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.672 ; 7.333 ; +; 1.519 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 6.982 ; +; 1.538 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 6.963 ; +; 1.539 ; ad9866_adio[3] ; adcpipe[1][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.096 ; 4.578 ; +; 1.562 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 6.939 ; +; 1.564 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.019 ; 6.937 ; +; 1.576 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.672 ; 7.272 ; +; 1.610 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.913 ; 6.997 ; +; 1.725 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.537 ; 7.258 ; +; 1.805 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.934 ; 6.781 ; +; 1.842 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.427 ; 11.626 ; +; 1.848 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.718 ; +; 1.876 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.658 ; 6.986 ; +; 1.904 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 6.556 ; +; 1.912 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.654 ; +; 1.953 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.613 ; +; 1.973 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.060 ; 6.487 ; +; 1.979 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.587 ; +; 2.050 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.672 ; 6.798 ; +; 2.069 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.497 ; +; 2.082 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.397 ; 11.021 ; +; 2.135 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[40] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.431 ; +; 2.233 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[39] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.333 ; +; 2.368 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[41] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.525 ; 11.198 ; +; 2.391 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.397 ; 10.712 ; +; 2.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.502 ; 10.522 ; +; 2.518 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.283 ; 10.806 ; +; 2.539 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[43] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.427 ; 10.929 ; +; 2.562 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.419 ; 10.898 ; +; 2.580 ; transmitter:transmitter_inst|CicInterpM5:in2|y5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.490 ; 10.951 ; +; 2.596 ; transmitter:transmitter_inst|CicInterpM5:in2|y5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.490 ; 10.935 ; +; 2.615 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[5] ; transmitter:transmitter_inst|out_data[5] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.473 ; 3.443 ; +; 2.624 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.658 ; 6.238 ; +; 2.626 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.419 ; 10.834 ; +; 2.627 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.289 ; 10.703 ; +; 2.650 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.502 ; 10.348 ; +; 2.667 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.419 ; 10.793 ; +; 2.690 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.444 ; 10.795 ; +; 2.693 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.419 ; 10.767 ; +; 2.706 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[12] ; transmitter:transmitter_inst|out_data[12] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.669 ; 3.156 ; +; 2.748 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[45] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.408 ; 10.701 ; +; 2.754 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.444 ; 10.731 ; +; 2.783 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.419 ; 10.677 ; +; 2.786 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][17] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.283 ; 10.538 ; ++-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Setup: 'spi_slave:spi_slave_rx_inst|done' ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -; 1.487 ; spi_slave:spi_slave_rx_inst|rdata[34] ; rx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.785 ; 1.719 ; -; 1.495 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.785 ; 1.711 ; -; 1.539 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 2.737 ; -; 1.587 ; spi_slave:spi_slave_rx_inst|rdata[34] ; tx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.784 ; 1.620 ; -; 1.589 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.784 ; 1.618 ; -; 1.600 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 3.117 ; -; 1.604 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.784 ; 1.603 ; -; 1.637 ; spi_slave:spi_slave_rx_inst|rdata[32] ; rx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -1.434 ; 0.920 ; -; 1.675 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.767 ; 3.083 ; -; 1.696 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.744 ; 3.039 ; -; 1.697 ; spi_slave:spi_slave_rx_inst|rdata[37] ; rx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.415 ; 1.879 ; -; 1.730 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.704 ; 2.965 ; -; 1.736 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.739 ; 2.994 ; -; 1.756 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 2.961 ; -; 1.766 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.767 ; 2.992 ; -; 1.769 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.785 ; 1.437 ; -; 1.773 ; spi_slave:spi_slave_rx_inst|rdata[36] ; tx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.774 ; 1.444 ; -; 1.778 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.506 ; -; 1.779 ; spi_slave:spi_slave_rx_inst|rdata[33] ; tx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.784 ; 1.428 ; -; 1.796 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.743 ; 1.452 ; -; 1.879 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.597 ; 2.460 ; -; 1.882 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.597 ; 2.457 ; -; 1.885 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.399 ; -; 1.905 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.405 ; 1.681 ; -; 1.952 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.767 ; 2.806 ; -; 1.972 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.704 ; 2.723 ; -; 2.005 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.730 ; 2.716 ; -; 2.012 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.631 ; 2.610 ; -; 2.029 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.619 ; 2.581 ; -; 2.035 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.449 ; 2.156 ; -; 2.039 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.704 ; 2.656 ; -; 2.051 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.631 ; 2.571 ; -; 2.079 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 2.638 ; -; 2.082 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.730 ; 2.639 ; -; 2.093 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.597 ; 2.246 ; -; 2.094 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.190 ; -; 2.118 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.730 ; 2.603 ; -; 2.128 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 2.174 ; -; 2.129 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.704 ; 2.566 ; -; 2.144 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.597 ; 2.195 ; -; 2.163 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 2.139 ; -; 2.164 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 2.553 ; -; 2.166 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.118 ; -; 2.189 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 2.113 ; -; 2.195 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.611 ; 2.158 ; -; 2.205 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.739 ; 2.525 ; -; 2.212 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.072 ; -; 2.212 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.619 ; 2.398 ; -; 2.213 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.597 ; 2.126 ; -; 2.220 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.556 ; 2.078 ; -; 2.246 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 2.030 ; -; 2.271 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.597 ; 2.068 ; -; 2.293 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.739 ; 2.437 ; -; 2.340 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.739 ; 2.390 ; -; 2.344 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.704 ; 2.351 ; -; 2.377 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.449 ; 1.814 ; -; 2.386 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 2.331 ; -; 2.387 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.704 ; 2.308 ; -; 2.396 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 2.321 ; -; 2.399 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.717 ; 2.309 ; -; 2.402 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.717 ; 2.306 ; -; 2.434 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.704 ; 2.261 ; -; 2.440 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 2.277 ; -; 2.444 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.449 ; 1.747 ; -; 2.445 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.449 ; 1.746 ; -; 2.475 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.730 ; 2.246 ; -; 2.482 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.794 ; -; 2.483 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.793 ; -; 2.486 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 1.816 ; -; 2.489 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.726 ; 2.228 ; -; 2.490 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 1.794 ; -; 2.496 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.780 ; -; 2.502 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.556 ; 1.796 ; -; 2.505 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.771 ; -; 2.513 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.574 ; 1.803 ; -; 2.521 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.755 ; -; 2.524 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.574 ; 1.792 ; -; 2.525 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 1.777 ; -; 2.529 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.574 ; 1.787 ; -; 2.530 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.556 ; 1.768 ; -; 2.539 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.597 ; 1.800 ; -; 2.541 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.735 ; -; 2.542 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.574 ; 1.774 ; -; 2.546 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 1.756 ; -; 2.550 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.556 ; 1.748 ; -; 2.552 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.556 ; 1.746 ; -; 2.555 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.721 ; -; 2.555 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.721 ; -; 2.555 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.721 ; -; 2.558 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.574 ; 1.758 ; -; 2.559 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.574 ; 1.757 ; -; 2.559 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 1.743 ; -; 2.562 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 1.740 ; -; 2.563 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.767 ; 2.195 ; -; 2.567 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.709 ; -; 2.568 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.708 ; -; 2.569 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.707 ; -; 2.571 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.556 ; 1.727 ; -; 2.573 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.574 ; 1.743 ; -; 2.574 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.534 ; 1.702 ; +; 1.349 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -1.035 ; 1.607 ; +; 1.353 ; spi_slave:spi_slave_rx_inst|rdata[34] ; rx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.970 ; 1.668 ; +; 1.354 ; spi_slave:spi_slave_rx_inst|rdata[36] ; tx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -1.035 ; 1.602 ; +; 1.415 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.544 ; 3.120 ; +; 1.424 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 3.109 ; +; 1.453 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.387 ; 2.676 ; +; 1.461 ; spi_slave:spi_slave_rx_inst|rdata[33] ; tx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -1.035 ; 1.495 ; +; 1.478 ; spi_slave:spi_slave_rx_inst|rdata[37] ; rx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.970 ; 1.543 ; +; 1.511 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.696 ; 1.784 ; +; 1.517 ; spi_slave:spi_slave_rx_inst|rdata[34] ; tx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -1.035 ; 1.439 ; +; 1.526 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.544 ; 3.009 ; +; 1.532 ; spi_slave:spi_slave_rx_inst|rdata[32] ; rx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.970 ; 1.489 ; +; 1.541 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.970 ; 1.480 ; +; 1.553 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.970 ; 1.468 ; +; 1.574 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -1.035 ; 1.382 ; +; 1.596 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.544 ; 2.939 ; +; 1.619 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.566 ; 2.938 ; +; 1.639 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.369 ; 2.472 ; +; 1.644 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.889 ; +; 1.644 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.889 ; +; 1.656 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 2.451 ; +; 1.663 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.536 ; 2.864 ; +; 1.703 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.058 ; 2.097 ; +; 1.743 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.231 ; 2.479 ; +; 1.777 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.058 ; 2.023 ; +; 1.783 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.233 ; 2.441 ; +; 1.788 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.970 ; 1.233 ; +; 1.827 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.544 ; 2.708 ; +; 1.831 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.536 ; 2.696 ; +; 1.854 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.233 ; 2.370 ; +; 1.870 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.663 ; +; 1.900 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.385 ; 2.227 ; +; 1.904 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.532 ; 2.619 ; +; 1.914 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.558 ; 2.635 ; +; 1.933 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 2.618 ; +; 1.952 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.387 ; 2.177 ; +; 1.956 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 2.595 ; +; 1.959 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.540 ; 2.572 ; +; 1.963 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.523 ; 2.551 ; +; 1.968 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 2.139 ; +; 1.977 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.227 ; 2.241 ; +; 1.979 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.540 ; 2.552 ; +; 1.989 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.387 ; 2.140 ; +; 1.991 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.383 ; 2.134 ; +; 1.991 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.369 ; 2.120 ; +; 1.993 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.056 ; 1.805 ; +; 1.993 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.368 ; 2.117 ; +; 1.993 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.387 ; 2.136 ; +; 2.023 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 2.084 ; +; 2.024 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.387 ; 2.105 ; +; 2.029 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.058 ; 1.771 ; +; 2.053 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.372 ; 2.061 ; +; 2.061 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.056 ; 1.737 ; +; 2.065 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.056 ; 1.733 ; +; 2.067 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.056 ; 1.731 ; +; 2.068 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.216 ; 2.139 ; +; 2.077 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.056 ; 1.721 ; +; 2.084 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.532 ; 2.439 ; +; 2.086 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.058 ; 1.714 ; +; 2.092 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.441 ; +; 2.097 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 2.454 ; +; 2.109 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.058 ; 1.691 ; +; 2.141 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.532 ; 2.382 ; +; 2.145 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.540 ; 2.386 ; +; 2.156 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.542 ; 2.377 ; +; 2.189 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.540 ; 2.342 ; +; 2.204 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.560 ; 2.347 ; +; 2.205 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.540 ; 2.326 ; +; 2.230 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.563 ; 2.324 ; +; 2.286 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.390 ; 1.846 ; +; 2.317 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.368 ; 1.793 ; +; 2.326 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.781 ; +; 2.335 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.367 ; 1.774 ; +; 2.337 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.390 ; 1.795 ; +; 2.340 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.767 ; +; 2.341 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.367 ; 1.768 ; +; 2.346 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.761 ; +; 2.347 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.367 ; 1.762 ; +; 2.348 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.390 ; 1.784 ; +; 2.350 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.368 ; 1.760 ; +; 2.351 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.756 ; +; 2.352 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.369 ; 1.759 ; +; 2.352 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.755 ; +; 2.355 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.368 ; 1.755 ; +; 2.357 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.368 ; 1.753 ; +; 2.361 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.367 ; 1.748 ; +; 2.364 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.743 ; +; 2.367 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.368 ; 1.743 ; +; 2.367 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.367 ; 1.742 ; +; 2.368 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.739 ; +; 2.371 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.736 ; +; 2.373 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.734 ; +; 2.374 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.733 ; +; 2.380 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.365 ; 1.727 ; +; 2.381 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.367 ; 1.728 ; +; 2.385 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.368 ; 1.725 ; +; 2.386 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.390 ; 1.746 ; +; 2.387 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.369 ; 1.724 ; +; 2.389 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.369 ; 1.722 ; +; 2.395 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.390 ; 1.737 ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ @@ -857,141 +857,141 @@ No paths to report. +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; 1.614 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.523 ; 7.174 ; -; 2.007 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.512 ; 6.770 ; -; 2.100 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.586 ; 6.762 ; -; 2.196 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.728 ; 6.996 ; -; 2.215 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.583 ; 6.641 ; -; 2.258 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.529 ; 6.729 ; -; 2.310 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.715 ; 6.874 ; -; 2.303 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.584 ; 6.556 ; -; 2.330 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.583 ; 6.526 ; -; 1.830 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.583 ; 7.018 ; -; 2.368 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.730 ; 6.832 ; -; 2.446 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.731 ; 6.755 ; -; 2.543 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.526 ; 6.434 ; -; 6.205 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.523 ; 7.583 ; -; 6.438 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.512 ; 7.339 ; -; 6.660 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.586 ; 7.202 ; -; 6.865 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.584 ; 6.994 ; -; 6.884 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.728 ; 7.308 ; -; 6.889 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.715 ; 7.295 ; -; 6.935 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.529 ; 7.052 ; -; 6.975 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.526 ; 7.002 ; -; 6.999 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.583 ; 6.857 ; -; 7.190 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.583 ; 6.666 ; -; 6.427 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.583 ; 7.421 ; -; 7.454 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.730 ; 6.746 ; -; 7.500 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.731 ; 6.701 ; +; 1.418 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.476 ; 7.507 ; +; 1.425 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.488 ; 7.521 ; +; 1.438 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.477 ; 7.304 ; +; 1.455 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.498 ; 7.307 ; +; 1.481 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.499 ; 7.467 ; +; 1.496 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.489 ; 7.452 ; +; 1.513 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.489 ; 7.435 ; +; 1.604 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.490 ; 7.342 ; +; 1.657 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.501 ; 7.295 ; +; 1.695 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.490 ; 7.066 ; +; 1.800 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.490 ; 7.148 ; +; 1.847 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.498 ; 7.101 ; +; 1.868 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.464 ; 7.046 ; +; 6.007 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.476 ; 7.918 ; +; 6.063 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.477 ; 7.679 ; +; 6.068 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.498 ; 7.694 ; +; 6.211 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.489 ; 7.737 ; +; 6.229 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.501 ; 7.723 ; +; 6.216 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.488 ; 7.730 ; +; 6.320 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.489 ; 7.628 ; +; 6.203 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.499 ; 7.745 ; +; 6.273 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.490 ; 7.673 ; +; 6.563 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.498 ; 7.385 ; +; 6.645 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.490 ; 7.116 ; +; 6.666 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.490 ; 7.282 ; +; 6.946 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.464 ; 6.968 ; +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -+-----------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'clk_10mhz' ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 91.911 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.626 ; 7.464 ; -; 92.009 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.375 ; -; 92.009 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.375 ; -; 92.009 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.375 ; -; 92.009 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.375 ; -; 92.009 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.375 ; -; 92.009 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.375 ; -; 92.009 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.375 ; -; 92.224 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.637 ; 7.140 ; -; 92.285 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.626 ; 7.090 ; -; 92.322 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 7.051 ; -; 92.322 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 7.051 ; -; 92.322 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 7.051 ; -; 92.322 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 7.051 ; -; 92.322 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 7.051 ; -; 92.322 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 7.051 ; -; 92.322 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 7.051 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.343 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 7.028 ; -; 92.383 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.001 ; -; 92.383 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.001 ; -; 92.383 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.001 ; -; 92.383 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.001 ; -; 92.383 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.001 ; -; 92.383 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.001 ; -; 92.383 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.617 ; 7.001 ; -; 92.491 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.637 ; 6.873 ; -; 92.510 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.637 ; 6.854 ; -; 92.589 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.784 ; -; 92.589 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.784 ; -; 92.589 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.784 ; -; 92.589 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.784 ; -; 92.589 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.784 ; -; 92.589 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.784 ; -; 92.589 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.784 ; -; 92.608 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.765 ; -; 92.608 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.765 ; -; 92.608 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.765 ; -; 92.608 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.765 ; -; 92.608 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.765 ; -; 92.608 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.765 ; -; 92.608 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.765 ; -; 92.622 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.637 ; 6.742 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.656 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.704 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.717 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.630 ; 6.654 ; -; 92.720 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.653 ; -; 92.720 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.653 ; -; 92.720 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.653 ; -; 92.720 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.653 ; -; 92.720 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.653 ; -; 92.720 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.653 ; -; 92.720 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.653 ; -; 92.786 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.637 ; 6.578 ; -; 92.806 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.637 ; 6.558 ; -; 92.884 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.489 ; -; 92.884 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.489 ; -; 92.884 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.489 ; -; 92.884 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.489 ; -; 92.884 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.489 ; -; 92.884 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.489 ; -; 92.884 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.489 ; -; 92.904 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.469 ; -; 92.904 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.469 ; -; 92.904 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.469 ; -; 92.904 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.469 ; -; 92.904 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.469 ; -; 92.904 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.469 ; -; 92.904 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.628 ; 6.469 ; -; 92.913 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.120 ; 6.968 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.923 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.437 ; -; 92.942 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.418 ; -; 92.942 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.418 ; -; 92.942 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.641 ; 6.418 ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Setup: 'clk_10mhz' ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.640 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.817 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.901 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.465 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.921 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.445 ; +; 92.939 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.543 ; 6.519 ; +; 92.939 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.543 ; 6.519 ; +; 92.939 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.543 ; 6.519 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 92.996 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.544 ; 6.461 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.027 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.339 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.047 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.635 ; 6.319 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.109 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.079 ; 6.813 ; +; 93.114 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.685 ; 6.202 ; +; 93.114 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.685 ; 6.202 ; +; 93.114 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.685 ; 6.202 ; +; 93.114 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.685 ; 6.202 ; +; 93.114 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.685 ; 6.202 ; +; 93.114 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.685 ; 6.202 ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -999,43 +999,54 @@ No paths to report. +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.125 ; 3.476 ; -; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; +; 2494.853 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.124 ; 5.044 ; +; 2494.854 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.124 ; 5.043 ; +; 2494.982 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.124 ; 4.915 ; +; 2494.983 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.124 ; 4.914 ; +; 2495.324 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.124 ; 4.573 ; +; 2495.354 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 4.602 ; +; 2495.355 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 4.601 ; +; 2495.453 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.124 ; 4.444 ; +; 2495.825 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 4.131 ; +; 2495.919 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.423 ; 3.679 ; +; 2495.920 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.423 ; 3.678 ; +; 2496.313 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.965 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.327 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.133 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; +; 2496.334 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.126 ; 3.476 ; ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; @@ -1045,60 +1056,49 @@ No paths to report. ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; +; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; ; 2496.337 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.123 ; 3.476 ; -; 2496.358 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.018 ; 3.681 ; -; 2496.414 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.018 ; 3.625 ; -; 2496.648 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.374 ; 2.999 ; -; 2496.829 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.240 ; 2.999 ; -; 2496.853 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 3.054 ; -; 2496.858 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.374 ; 2.789 ; -; 2496.896 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.184 ; 2.988 ; -; 2496.909 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.998 ; -; 2496.942 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.156 ; 3.235 ; -; 2496.998 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.156 ; 3.179 ; -; 2497.009 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.018 ; 3.030 ; -; 2497.039 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.240 ; 2.789 ; -; 2497.041 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.018 ; 2.998 ; -; 2497.085 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.062 ; 2.998 ; -; 2497.106 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.184 ; 2.778 ; -; 2497.141 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.062 ; 2.942 ; -; 2497.154 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.108 ; 2.806 ; -; 2497.249 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.658 ; -; 2497.254 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.052 ; 2.762 ; -; 2497.273 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.176 ; 2.619 ; -; 2497.302 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.605 ; -; 2497.483 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.176 ; 2.409 ; -; 2497.504 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.403 ; -; 2497.536 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.371 ; -; 2497.582 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.325 ; -; 2497.592 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.156 ; 2.585 ; -; 2497.625 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.156 ; 2.552 ; -; 2497.633 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.176 ; 2.259 ; -; 2497.635 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.272 ; -; 2497.671 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.058 ; 2.292 ; -; 2497.685 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.044 ; 2.339 ; -; 2497.703 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.018 ; 2.336 ; -; 2497.736 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.062 ; 2.347 ; -; 2497.768 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.062 ; 2.315 ; -; 2497.825 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.342 ; 1.854 ; -; 2497.836 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.018 ; 2.203 ; -; 2497.837 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.240 ; 1.991 ; -; 2497.839 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.068 ; -; 2497.840 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.283 ; 1.898 ; -; 2497.848 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.059 ; -; 2497.874 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.033 ; -; 2497.892 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.015 ; -; 2497.901 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.006 ; -; 2497.903 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 2.004 ; -; 2497.905 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.184 ; 1.979 ; -; 2497.908 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.114 ; 1.999 ; -; 2497.948 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.184 ; 1.936 ; -; 2497.952 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.176 ; 1.940 ; -; 2497.955 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.212 ; 2.278 ; -; 2497.993 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.074 ; 2.102 ; -; 2498.003 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.342 ; 1.676 ; -; 2498.056 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.374 ; 1.591 ; +; 2496.390 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.423 ; 3.208 ; +; 2496.408 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 3.137 ; +; 2496.409 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 3.136 ; +; 2496.442 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.836 ; +; 2496.445 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 3.100 ; +; 2496.447 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 3.098 ; +; 2496.669 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.433 ; 2.919 ; +; 2496.703 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.575 ; +; 2496.714 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.831 ; +; 2496.715 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.830 ; +; 2496.814 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.316 ; 3.523 ; +; 2496.832 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.446 ; +; 2496.863 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.433 ; 2.725 ; +; 2496.879 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.666 ; +; 2496.900 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.645 ; +; 2496.902 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.643 ; +; 2496.907 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.371 ; +; 2496.917 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.480 ; 3.631 ; +; 2496.955 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.323 ; +; 2497.036 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.242 ; +; 2497.084 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.257 ; 3.194 ; +; 2497.185 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.360 ; +; 2497.204 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.316 ; 3.133 ; +; 2497.241 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.261 ; 3.088 ; +; 2497.283 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.534 ; 2.204 ; +; 2497.366 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.433 ; 2.222 ; +; 2497.379 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.042 ; 2.600 ; +; 2497.382 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.163 ; +; 2497.391 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.476 ; 2.154 ; +; 2497.394 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.561 ; 2.066 ; +; 2497.399 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.333 ; 3.002 ; +; 2497.408 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.316 ; 2.929 ; +; 2497.456 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.316 ; 2.881 ; +; 2497.522 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.128 ; 2.674 ; +; 2497.562 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.534 ; 1.925 ; +; 2497.581 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.091 ; 2.396 ; +; 2497.649 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.019 ; 2.400 ; +; 2497.695 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.392 ; 2.765 ; +; 2497.722 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.433 ; 1.866 ; +; 2497.742 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.042 ; 2.237 ; +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -1107,322 +1107,322 @@ No paths to report. +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2599.539 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 4.399 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2600.172 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.214 ; 3.781 ; -; 2602.201 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 1.737 ; -; 2602.847 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 1.091 ; -; 2602.847 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.229 ; 1.091 ; -; 5201.840 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.414 ; -; 5201.840 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.414 ; -; 5201.840 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.414 ; -; 5201.840 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.414 ; -; 5201.882 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.372 ; -; 5201.882 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.372 ; -; 5201.882 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.372 ; -; 5201.882 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.372 ; -; 5201.882 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.372 ; -; 5201.882 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.372 ; -; 5202.181 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.073 ; -; 5202.181 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.073 ; -; 5202.181 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.073 ; -; 5202.181 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.073 ; -; 5202.223 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.031 ; -; 5202.223 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.031 ; -; 5202.223 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.031 ; -; 5202.223 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.031 ; -; 5202.223 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.031 ; -; 5202.223 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.031 ; -; 5202.372 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.882 ; -; 5202.372 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.882 ; -; 5202.372 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.882 ; -; 5202.372 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.882 ; -; 5202.401 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.853 ; -; 5202.401 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.853 ; -; 5202.401 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.853 ; -; 5202.401 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.853 ; -; 5202.414 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.840 ; -; 5202.414 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.840 ; -; 5202.414 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.840 ; -; 5202.414 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.840 ; -; 5202.414 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.840 ; -; 5202.414 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.840 ; -; 5202.424 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.830 ; -; 5202.424 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.830 ; -; 5202.424 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.830 ; -; 5202.424 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.830 ; -; 5202.443 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.811 ; -; 5202.443 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.811 ; -; 5202.443 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.811 ; -; 5202.443 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.811 ; -; 5202.443 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.811 ; -; 5202.443 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.811 ; -; 5202.466 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.788 ; -; 5202.466 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.788 ; -; 5202.466 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.788 ; -; 5202.466 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.788 ; -; 5202.466 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.788 ; -; 5202.466 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.788 ; -; 5202.479 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.775 ; -; 5202.479 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.775 ; -; 5202.479 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.775 ; -; 5202.479 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.775 ; -; 5202.521 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.733 ; -; 5202.521 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.733 ; -; 5202.521 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.733 ; -; 5202.521 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.733 ; -; 5202.521 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.733 ; -; 5202.521 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.733 ; -; 5202.667 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.587 ; -; 5202.667 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.587 ; -; 5202.667 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.587 ; -; 5202.667 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.587 ; -; 5202.709 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.545 ; -; 5202.709 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.545 ; -; 5202.709 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.545 ; -; 5202.709 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.545 ; -; 5202.709 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.545 ; -; 5202.709 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.545 ; -; 5202.733 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.521 ; -; 5202.733 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.521 ; -; 5202.733 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.521 ; -; 5202.733 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.521 ; -; 5202.775 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.479 ; -; 5202.775 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.479 ; -; 5202.775 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.479 ; -; 5202.775 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.479 ; -; 5202.775 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.479 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2598.989 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.963 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2599.496 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 4.456 ; +; 2601.773 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 2.179 ; +; 2601.773 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 2.179 ; +; 2601.863 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.215 ; 2.089 ; +; 5200.664 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.589 ; +; 5200.664 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.589 ; +; 5200.664 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.589 ; +; 5200.664 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.589 ; +; 5200.880 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.373 ; +; 5200.880 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.373 ; +; 5200.880 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.373 ; +; 5200.880 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.373 ; +; 5200.880 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.373 ; +; 5200.880 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.373 ; +; 5200.970 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.283 ; +; 5200.970 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.283 ; +; 5200.970 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.283 ; +; 5200.970 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.283 ; +; 5201.134 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.119 ; +; 5201.134 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.119 ; +; 5201.134 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.119 ; +; 5201.134 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.119 ; +; 5201.186 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.067 ; +; 5201.186 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.067 ; +; 5201.186 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.067 ; +; 5201.186 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.067 ; +; 5201.186 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.067 ; +; 5201.186 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 7.067 ; +; 5201.210 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 7.044 ; +; 5201.210 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 7.044 ; +; 5201.210 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 7.044 ; +; 5201.210 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 7.044 ; +; 5201.350 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 6.903 ; +; 5201.350 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 6.903 ; +; 5201.350 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 6.903 ; +; 5201.350 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 6.903 ; +; 5201.350 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 6.903 ; +; 5201.350 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.081 ; 6.903 ; +; 5201.426 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.828 ; +; 5201.426 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.828 ; +; 5201.426 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.828 ; +; 5201.426 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.828 ; +; 5201.426 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.828 ; +; 5201.426 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.828 ; +; 5201.741 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.095 ; 6.498 ; +; 5201.877 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.377 ; +; 5201.877 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.377 ; +; 5201.877 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.377 ; +; 5201.877 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.377 ; +; 5201.944 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.310 ; +; 5201.944 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.310 ; +; 5201.944 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.310 ; +; 5201.944 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.310 ; +; 5201.957 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.297 ; +; 5201.957 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.297 ; +; 5201.957 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.297 ; +; 5201.957 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.297 ; +; 5202.047 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.095 ; 6.192 ; +; 5202.093 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.161 ; +; 5202.093 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.161 ; +; 5202.093 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.161 ; +; 5202.093 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.161 ; +; 5202.093 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.161 ; +; 5202.093 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.161 ; +; 5202.128 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.126 ; +; 5202.128 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.126 ; +; 5202.128 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.126 ; +; 5202.128 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.126 ; +; 5202.160 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.094 ; +; 5202.160 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.094 ; +; 5202.160 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.094 ; +; 5202.160 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.094 ; +; 5202.160 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.094 ; +; 5202.160 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.094 ; +; 5202.173 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.081 ; +; 5202.173 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.081 ; +; 5202.173 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.081 ; +; 5202.173 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.081 ; +; 5202.173 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.081 ; +; 5202.173 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 6.081 ; +; 5202.211 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.095 ; 6.028 ; +; 5202.280 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.974 ; +; 5202.280 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.080 ; 5.974 ; +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.980 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 7.275 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33325.981 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.273 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.172 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.082 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.173 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.080 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.281 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.973 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.282 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.971 ; -; 33326.340 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|keyer_out ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.077 ; 6.917 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.431 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.824 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.432 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.822 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.454 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.801 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.799 ; -; 33326.494 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|keyer_out ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.078 ; 6.762 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -; 33326.574 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.680 ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.302 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.951 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.345 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.909 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.700 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.553 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.743 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.511 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.773 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.480 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33325.816 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.438 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.053 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 7.200 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.096 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 7.158 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.322 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.080 ; 6.932 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.327 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.081 ; 6.926 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.079 ; 6.890 ; +; 33326.365 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.083 ; 6.886 ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'spi_ce0' ; +; Slow 1200mV 85C Model Hold: 'spi_ce1' ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.258 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.703 ; 1.215 ; -; 0.459 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.126 ; 0.797 ; -; 0.464 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.126 ; 0.802 ; -; 0.475 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.059 ; 0.746 ; -; 0.475 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.059 ; 0.746 ; -; 0.475 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.059 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; -; 0.477 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.746 ; -; 0.479 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.746 ; -; 0.480 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.128 ; 0.820 ; -; 0.480 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.128 ; 0.820 ; -; 0.480 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.128 ; 0.820 ; -; 0.481 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.128 ; 0.821 ; -; 0.481 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 0.746 ; -; 0.502 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.103 ; 0.817 ; -; 0.509 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.778 ; -; 0.511 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.778 ; -; 0.520 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.103 ; 0.835 ; -; 0.531 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.801 ; -; 0.533 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.803 ; -; 0.536 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.803 ; -; 0.541 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.810 ; -; 0.548 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.818 ; -; 0.564 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.010 ; 0.786 ; -; 0.564 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.801 ; -; 0.579 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.010 ; 0.801 ; -; 0.596 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.820 ; -; 0.598 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.010 ; 0.820 ; -; 0.631 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.540 ; 1.383 ; -; 0.634 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.669 ; 1.557 ; -; 0.634 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.540 ; 1.386 ; -; 0.641 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.657 ; 1.552 ; -; 0.643 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.386 ; 1.283 ; -; 0.644 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.103 ; 0.959 ; -; 0.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.918 ; -; 0.650 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.340 ; 1.244 ; -; 0.653 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.592 ; 1.499 ; -; 0.657 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.592 ; 1.503 ; -; 0.679 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.126 ; 1.017 ; -; 0.686 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.632 ; 1.572 ; -; 0.689 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.592 ; 1.535 ; -; 0.689 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.481 ; 1.382 ; -; 0.690 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.481 ; 1.383 ; -; 0.693 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.481 ; 1.386 ; -; 0.696 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.965 ; -; 0.698 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.629 ; 1.581 ; -; 0.700 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.969 ; -; 0.702 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.270 ; 1.226 ; -; 0.703 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.270 ; 1.227 ; -; 0.704 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.010 ; 0.926 ; -; 0.711 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.340 ; 1.305 ; -; 0.718 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.270 ; 1.242 ; -; 0.722 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.633 ; 1.609 ; -; 0.722 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.340 ; 1.316 ; -; 0.724 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.948 ; -; 0.730 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.103 ; 1.045 ; -; 0.735 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.629 ; 1.618 ; -; 0.738 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.628 ; 1.620 ; -; 0.746 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.270 ; 1.270 ; -; 0.764 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 1.033 ; -; 0.764 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 1.002 ; -; 0.769 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.340 ; 1.363 ; -; 0.779 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.010 ; 1.001 ; -; 0.779 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 1.017 ; -; 0.798 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 1.022 ; -; 0.799 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.069 ; -; 0.801 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.071 ; -; 0.807 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.077 ; -; 0.807 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 1.072 ; -; 0.812 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 1.077 ; -; 0.813 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.083 ; -; 0.817 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.087 ; -; 0.817 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 1.084 ; -; 0.818 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.386 ; 1.458 ; -; 0.828 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.703 ; 1.785 ; -; 0.836 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.010 ; 1.058 ; -; 0.836 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 1.060 ; -; 0.838 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.053 ; 1.103 ; -; 0.847 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.117 ; -; 0.854 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.352 ; 1.460 ; -; 0.858 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.443 ; 1.513 ; -; 0.861 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.059 ; 1.132 ; -; 0.867 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.126 ; 1.205 ; -; 0.867 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.352 ; 1.473 ; -; 0.879 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.669 ; 1.802 ; +; 0.370 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.622 ; 1.246 ; +; 0.468 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 0.804 ; +; 0.468 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 0.804 ; +; 0.475 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 0.746 ; +; 0.475 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 0.746 ; +; 0.475 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 0.746 ; +; 0.475 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 0.746 ; +; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; +; 0.477 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.057 ; 0.746 ; +; 0.478 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.056 ; 0.746 ; +; 0.508 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.778 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.776 ; 1.556 ; +; 0.539 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.776 ; 1.569 ; +; 0.626 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 0.962 ; +; 0.649 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.919 ; +; 0.687 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.957 ; +; 0.688 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 1.024 ; +; 0.690 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.960 ; +; 0.733 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 1.069 ; +; 0.753 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.547 ; 1.554 ; +; 0.764 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.034 ; +; 0.784 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.008 ; 1.004 ; +; 0.787 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 1.058 ; +; 0.789 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.059 ; +; 0.796 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.547 ; 1.597 ; +; 0.803 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.776 ; 1.833 ; +; 0.805 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.056 ; 1.073 ; +; 0.812 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.056 ; 1.080 ; +; 0.853 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 1.189 ; +; 0.871 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 1.142 ; +; 0.909 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.381 ; 1.544 ; +; 0.924 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.008 ; 1.144 ; +; 0.925 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 1.196 ; +; 0.963 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.622 ; 1.839 ; +; 0.975 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.278 ; 1.507 ; +; 1.015 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.156 ; 1.383 ; +; 1.017 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.156 ; 1.385 ; +; 1.068 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.203 ; 1.525 ; +; 1.083 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.776 ; 2.113 ; +; 1.093 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.227 ; 1.574 ; +; 1.103 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.547 ; 1.904 ; +; 1.133 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 1.469 ; +; 1.133 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.447 ; 1.792 ; +; 1.182 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.432 ; 1.868 ; +; 1.240 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 1.511 ; +; 1.243 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 1.514 ; +; 1.283 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.622 ; 2.159 ; +; 1.336 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.031 ; 1.579 ; +; 1.345 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.227 ; 1.826 ; +; 1.359 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.196 ; 1.375 ; +; 1.383 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.547 ; 2.184 ; +; 1.436 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.152 ; 1.842 ; +; 1.443 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.227 ; 1.924 ; +; 1.472 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.313 ; 1.371 ; +; 1.474 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.390 ; 2.076 ; +; 1.495 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.152 ; 1.901 ; +; 1.521 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.152 ; 1.927 ; +; 1.563 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.622 ; 2.439 ; +; 1.565 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.059 ; 1.836 ; +; 1.566 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.381 ; 2.201 ; +; 1.567 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.390 ; 2.169 ; +; 1.627 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.381 ; 2.262 ; +; 1.694 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.257 ; 1.649 ; +; 1.737 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.257 ; 1.692 ; +; 1.746 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.227 ; 2.227 ; +; 1.750 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.156 ; 2.118 ; +; 1.798 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.381 ; 2.433 ; +; 1.822 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.152 ; 2.228 ; +; 1.912 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.342 ; 1.782 ; +; 1.952 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.490 ; 2.654 ; +; 2.011 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.156 ; 2.379 ; +; 2.029 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.490 ; 2.731 ; +; 2.039 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.257 ; 1.994 ; +; 2.070 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.565 ; 2.889 ; +; 2.075 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 1.971 ; +; 2.099 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.364 ; 1.947 ; +; 2.118 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.014 ; +; 2.145 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.342 ; 2.015 ; +; 2.170 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.066 ; +; 2.224 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.490 ; 2.968 ; +; 2.230 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.490 ; 2.932 ; +; 2.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.433 ; 2.980 ; +; 2.360 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.433 ; 3.005 ; +; 2.412 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.433 ; 3.057 ; +; 2.437 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.433 ; 3.082 ; +; 2.495 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.391 ; +; 2.497 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.719 ; 3.470 ; +; 2.542 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.438 ; +; 2.543 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.439 ; +; 2.544 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.490 ; 3.246 ; +; 2.558 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.454 ; +; 2.559 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.455 ; +; 2.563 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.257 ; 2.518 ; +; 2.590 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.257 ; 2.545 ; +; 2.613 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.433 ; 3.258 ; +; 2.638 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.433 ; 3.283 ; +; 2.883 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.316 ; 2.779 ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -1431,215 +1431,323 @@ No paths to report. +-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.344 ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[12] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_datain_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.845 ; 1.443 ; -; 0.410 ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[9] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_datain_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.845 ; 1.509 ; -; 0.443 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][22] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][22] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.371 ; 1.026 ; -; 0.476 ; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; -; 0.477 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.746 ; -; 0.477 ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.746 ; -; 0.478 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; -; 0.479 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; -; 0.479 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; -; 0.479 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; -; 0.479 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; -; 0.479 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; -; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; +; 0.385 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.548 ; 1.187 ; +; 0.410 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.557 ; 1.221 ; +; 0.475 ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.059 ; 0.746 ; +; 0.475 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.059 ; 0.746 ; +; 0.475 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.059 ; 0.746 ; +; 0.475 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.059 ; 0.746 ; +; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.116 ; 0.804 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.746 ; +; 0.478 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.746 ; +; 0.479 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; +; 0.479 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; +; 0.479 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; +; 0.479 ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; +; 0.479 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; +; 0.479 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.746 ; +; 0.480 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; ; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; ; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; ; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; ; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.480 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; -; 0.481 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; -; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.480 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.746 ; +; 0.481 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; ; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; -; 0.482 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.746 ; -; 0.482 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.746 ; -; 0.482 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.746 ; -; 0.482 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.746 ; -; 0.482 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.746 ; -; 0.494 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.103 ; 0.809 ; -; 0.510 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.777 ; -; 0.510 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.777 ; -; 0.512 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.102 ; 0.826 ; -; 0.513 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.103 ; 0.828 ; -; 0.518 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.103 ; 0.833 ; -; 0.523 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[8] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.269 ; 1.004 ; -; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.793 ; -; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.793 ; -; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.793 ; -; 0.525 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.793 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.526 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; -; 0.526 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.526 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.526 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.526 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.793 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.794 ; -; 0.527 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.795 ; -; 0.527 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[6] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.793 ; +; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.481 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.746 ; +; 0.485 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.106 ; 0.803 ; +; 0.488 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.542 ; 1.284 ; +; 0.491 ; transmitter:transmitter_inst|counter[0] ; transmitter:transmitter_inst|counter[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.055 ; 0.758 ; +; 0.500 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.116 ; 0.828 ; +; 0.507 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.116 ; 0.835 ; +; 0.507 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.776 ; +; 0.509 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|parity5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.777 ; +; 0.510 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][1] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.054 ; 0.776 ; +; 0.510 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.063 ; 0.785 ; +; 0.512 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.502 ; 1.226 ; +; 0.524 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.793 ; +; 0.524 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.793 ; +; 0.524 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.794 ; +; 0.524 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.794 ; +; 0.524 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.794 ; +; 0.524 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.794 ; +; 0.524 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.794 ; +; 0.524 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.793 ; +; 0.524 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.063 ; 0.799 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.793 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.793 ; +; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.795 ; +; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.058 ; 0.795 ; +; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.794 ; +; 0.525 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.793 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.795 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.795 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.795 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.795 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.057 ; 0.795 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +; 0.526 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.056 ; 0.794 ; +-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 0.454 ; profile:profile_CW|char_PTT ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.455 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0011 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; profile:profile_CW|hang_PTT ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; profile:profile_CW|enable_hang ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.543 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.834 ; -; 0.545 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.836 ; -; 0.745 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.037 ; -; 0.745 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.036 ; -; 0.746 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.037 ; -; 0.746 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.037 ; -; 0.746 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.037 ; -; 0.746 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.038 ; -; 0.747 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; -; 0.747 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.039 ; -; 0.747 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.039 ; -; 0.748 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; -; 0.748 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; -; 0.748 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; -; 0.748 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.040 ; -; 0.748 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; -; 0.748 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.040 ; -; 0.749 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.040 ; -; 0.750 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.041 ; -; 0.758 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.050 ; -; 0.759 ; profile:profile_CW|hang_timer[17] ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.050 ; -; 0.759 ; profile:profile_CW|timer[17] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.051 ; -; 0.759 ; profile:profile_CW|hang_timer[16] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.050 ; -; 0.764 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.056 ; -; 0.765 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.056 ; -; 0.765 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.056 ; -; 0.765 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.056 ; -; 0.766 ; profile:profile_CW|hang_timer[14] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.057 ; -; 0.773 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.064 ; -; 0.773 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.064 ; -; 0.778 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.069 ; -; 0.824 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.115 ; -; 0.824 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.115 ; -; 0.834 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.125 ; -; 0.836 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.127 ; -; 0.911 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.203 ; -; 0.923 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.214 ; -; 0.925 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.463 ; 1.642 ; -; 0.941 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.232 ; -; 0.941 ; profile:profile_CW|hang_timer[8] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.232 ; -; 0.951 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.475 ; 1.680 ; -; 0.962 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.463 ; 1.679 ; -; 1.054 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.475 ; 1.783 ; -; 1.070 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.362 ; -; 1.099 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.391 ; -; 1.099 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.390 ; -; 1.100 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.391 ; -; 1.100 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.391 ; -; 1.100 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.391 ; -; 1.100 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.392 ; -; 1.101 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.392 ; -; 1.101 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.393 ; -; 1.101 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.392 ; -; 1.101 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.392 ; -; 1.102 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.393 ; -; 1.102 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.393 ; -; 1.102 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.393 ; -; 1.102 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.463 ; 1.819 ; -; 1.108 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.400 ; -; 1.108 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.399 ; -; 1.108 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.399 ; -; 1.108 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.399 ; -; 1.108 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.399 ; -; 1.109 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.401 ; -; 1.109 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.400 ; -; 1.109 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.401 ; -; 1.109 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.400 ; -; 1.110 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.401 ; -; 1.111 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.402 ; -; 1.111 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.402 ; -; 1.111 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.402 ; -; 1.117 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.408 ; -; 1.117 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.408 ; -; 1.117 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.408 ; -; 1.117 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.409 ; -; 1.117 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.408 ; -; 1.118 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.409 ; -; 1.118 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.409 ; -; 1.118 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.410 ; -; 1.118 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.410 ; -; 1.119 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.410 ; -; 1.119 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.411 ; -; 1.119 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.410 ; -; 1.119 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.411 ; -; 1.119 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.410 ; -+-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Hold: 'spi_ce0' ; ++-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.426 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.157 ; 0.795 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.100 ; 0.788 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; +; 0.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 0.746 ; +; 0.477 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.746 ; +; 0.477 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.746 ; +; 0.477 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 0.746 ; +; 0.478 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.478 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.746 ; +; 0.479 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.746 ; +; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.054 ; 0.746 ; +; 0.480 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.054 ; 0.746 ; +; 0.488 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.100 ; 0.800 ; +; 0.508 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.100 ; 0.820 ; +; 0.510 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.777 ; +; 0.512 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.095 ; 0.819 ; +; 0.512 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.095 ; 0.819 ; +; 0.513 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.095 ; 0.820 ; +; 0.536 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.804 ; +; 0.544 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.812 ; +; 0.544 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.071 ; 0.827 ; +; 0.563 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.801 ; +; 0.587 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.397 ; 1.238 ; +; 0.604 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.397 ; 1.255 ; +; 0.609 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.397 ; 1.260 ; +; 0.615 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.404 ; 1.273 ; +; 0.616 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.100 ; 0.928 ; +; 0.617 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.397 ; 1.268 ; +; 0.631 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.157 ; 1.000 ; +; 0.632 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.403 ; 1.289 ; +; 0.648 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.157 ; 1.017 ; +; 0.650 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.918 ; +; 0.650 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.157 ; 1.019 ; +; 0.658 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.071 ; 0.941 ; +; 0.675 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.304 ; 1.233 ; +; 0.682 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.950 ; +; 0.684 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.952 ; +; 0.687 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.315 ; 1.256 ; +; 0.688 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.100 ; 1.000 ; +; 0.698 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.104 ; 1.014 ; +; 0.700 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.331 ; 1.285 ; +; 0.700 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.967 ; +; 0.703 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.941 ; +; 0.711 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.095 ; 1.018 ; +; 0.714 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.104 ; 1.030 ; +; 0.718 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 0.986 ; +; 0.733 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.071 ; 1.016 ; +; 0.734 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 1.001 ; +; 0.734 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.315 ; 1.303 ; +; 0.746 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.100 ; 1.058 ; +; 0.754 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.104 ; 1.070 ; +; 0.758 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.111 ; 1.081 ; +; 0.759 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.543 ; 1.556 ; +; 0.760 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 1.028 ; +; 0.760 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 1.028 ; +; 0.765 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 1.033 ; +; 0.776 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.543 ; 1.573 ; +; 0.776 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 1.014 ; +; 0.779 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.111 ; 1.102 ; +; 0.782 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.071 ; 1.065 ; +; 0.784 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.104 ; 1.100 ; +; 0.791 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 1.058 ; +; 0.791 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 1.029 ; +; 0.797 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.214 ; 1.223 ; +; 0.803 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.007 ; 1.022 ; +; 0.804 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 1.072 ; +; 0.816 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 1.084 ; +; 0.818 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.088 ; +; 0.819 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.089 ; +; 0.821 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.054 ; 1.087 ; +; 0.825 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.058 ; 1.095 ; +; 0.825 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.543 ; 1.622 ; +; 0.826 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.007 ; 1.045 ; +; 0.828 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 1.066 ; +; 0.831 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.054 ; 1.097 ; +; 0.833 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.054 ; 1.099 ; +; 0.835 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 1.104 ; +; 0.840 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.289 ; 1.383 ; +; 0.851 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.057 ; 1.120 ; +; 0.855 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 1.093 ; +; 0.862 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.271 ; 0.803 ; +; 0.862 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.271 ; 0.803 ; +; 0.865 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.056 ; 1.133 ; +; 0.870 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.285 ; 1.409 ; +; 0.873 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.289 ; 1.416 ; +; 0.893 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.427 ; 1.574 ; +; 0.896 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.285 ; 1.435 ; +; 0.912 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.433 ; 1.599 ; ++-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Hold: 'spi_sck' ; ++-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.447 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.142 ; 0.801 ; +; 0.448 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_sck ; spi_sck ; 0.000 ; 0.142 ; 0.802 ; +; 0.449 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_sck ; spi_sck ; 0.000 ; 0.142 ; 0.803 ; +; 0.450 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; 0.142 ; 0.804 ; +; 0.450 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_sck ; spi_sck ; 0.000 ; 0.142 ; 0.804 ; +; 0.471 ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_sck ; spi_sck ; 0.000 ; 0.118 ; 0.801 ; +; 0.472 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; 0.117 ; 0.801 ; +; 0.473 ; spi_slave:spi_slave_rx2_inst|rreg[46] ; spi_slave:spi_slave_rx2_inst|rdata[47] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.793 ; +; 0.475 ; spi_slave:spi_slave_rx_inst|rreg[33] ; spi_slave:spi_slave_rx_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; 0.117 ; 0.804 ; +; 0.479 ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_sck ; spi_sck ; 0.000 ; 0.111 ; 0.802 ; +; 0.480 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; 0.111 ; 0.803 ; +; 0.482 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.111 ; 0.805 ; +; 0.482 ; spi_slave:spi_slave_rx2_inst|rreg[40] ; spi_slave:spi_slave_rx2_inst|rdata[41] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.802 ; +; 0.483 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.803 ; +; 0.483 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.803 ; +; 0.483 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.803 ; +; 0.484 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.804 ; +; 0.484 ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_slave:spi_slave_rx2_inst|rdata[42] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.804 ; +; 0.523 ; spi_slave:spi_slave_rx2_inst|treg[3] ; spi_slave:spi_slave_rx2_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.059 ; 0.794 ; +; 0.523 ; spi_slave:spi_slave_rx2_inst|treg[5] ; spi_slave:spi_slave_rx2_inst|treg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.059 ; 0.794 ; +; 0.525 ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.793 ; +; 0.525 ; spi_slave:spi_slave_rx2_inst|treg[41] ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.058 ; 0.795 ; +; 0.525 ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_sck ; spi_sck ; 0.000 ; 0.058 ; 0.795 ; +; 0.526 ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_slave:spi_slave_rx_inst|treg[10] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.794 ; +; 0.527 ; spi_slave:spi_slave_rx_inst|treg[20] ; spi_slave:spi_slave_rx_inst|treg[21] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.795 ; +; 0.527 ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.795 ; +; 0.527 ; spi_slave:spi_slave_rx_inst|treg[4] ; spi_slave:spi_slave_rx_inst|treg[5] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.795 ; +; 0.527 ; spi_slave:spi_slave_rx_inst|treg[3] ; spi_slave:spi_slave_rx_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.795 ; +; 0.527 ; spi_slave:spi_slave_rx_inst|treg[2] ; spi_slave:spi_slave_rx_inst|treg[3] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.795 ; +; 0.527 ; spi_slave:spi_slave_rx_inst|treg[29] ; spi_slave:spi_slave_rx_inst|treg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.795 ; +; 0.528 ; spi_slave:spi_slave_rx_inst|treg[24] ; spi_slave:spi_slave_rx_inst|treg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.796 ; +; 0.535 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; +; 0.535 ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_slave:spi_slave_rx2_inst|rreg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; +; 0.535 ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; +; 0.535 ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; +; 0.535 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; +; 0.535 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rreg[46] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.801 ; +; 0.535 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; +; 0.536 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.803 ; +; 0.536 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.803 ; +; 0.536 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.802 ; +; 0.536 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.802 ; +; 0.536 ; spi_slave:spi_slave_rx2_inst|rreg[40] ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.802 ; +; 0.537 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.804 ; +; 0.537 ; spi_slave:spi_slave_rx_inst|rreg[33] ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.804 ; +; 0.537 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.804 ; +; 0.537 ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.804 ; +; 0.537 ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.803 ; +; 0.537 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.803 ; +; 0.537 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.803 ; +; 0.537 ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.803 ; +; 0.537 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.804 ; +; 0.538 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.804 ; +; 0.552 ; spi_slave:spi_slave_rx2_inst|rreg[27] ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.819 ; +; 0.552 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.819 ; +; 0.552 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.819 ; +; 0.553 ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.820 ; +; 0.553 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.820 ; +; 0.553 ; spi_slave:spi_slave_rx2_inst|rreg[23] ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.820 ; +; 0.553 ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_slave:spi_slave_rx_inst|rreg[16] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.819 ; +; 0.553 ; spi_slave:spi_slave_rx_inst|rreg[14] ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.819 ; +; 0.553 ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_slave:spi_slave_rx2_inst|rreg[2] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.820 ; +; 0.554 ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.821 ; +; 0.554 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.821 ; +; 0.555 ; spi_slave:spi_slave_rx_inst|rreg[10] ; spi_slave:spi_slave_rx_inst|rreg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.821 ; +; 0.555 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.821 ; +; 0.555 ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.822 ; +; 0.556 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rreg[19] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.823 ; +; 0.564 ; spi_slave:spi_slave_rx2_inst|rreg[14] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_sck ; spi_sck ; 0.000 ; 0.044 ; 0.820 ; +; 0.588 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_sck ; spi_sck ; 0.000 ; 0.198 ; 0.998 ; +; 0.601 ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_sck ; spi_sck ; 0.000 ; -0.011 ; 0.802 ; +; 0.602 ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_sck ; spi_sck ; 0.000 ; -0.011 ; 0.803 ; +; 0.602 ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_sck ; spi_sck ; 0.000 ; -0.011 ; 0.803 ; +; 0.602 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; -0.011 ; 0.803 ; +; 0.602 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; -0.011 ; 0.803 ; +; 0.653 ; spi_slave:spi_slave_rx2_inst|rreg[21] ; spi_slave:spi_slave_rx2_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.176 ; 1.041 ; +; 0.656 ; spi_slave:spi_slave_rx2_inst|rreg[20] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_sck ; spi_sck ; 0.000 ; 0.132 ; 1.000 ; +; 0.657 ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_sck ; spi_sck ; 0.000 ; 0.129 ; 0.998 ; +; 0.657 ; spi_slave:spi_slave_rx2_inst|rreg[22] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_sck ; spi_sck ; 0.000 ; 0.129 ; 0.998 ; +; 0.661 ; spi_slave:spi_slave_rx2_inst|rreg[2] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_sck ; spi_sck ; 0.000 ; 0.129 ; 1.002 ; +; 0.662 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_sck ; spi_sck ; 0.000 ; 0.118 ; 0.992 ; +; 0.663 ; spi_slave:spi_slave_rx2_inst|treg[10] ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.059 ; 0.934 ; +; 0.664 ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_slave:spi_slave_rx2_inst|treg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.058 ; 0.934 ; +; 0.665 ; spi_slave:spi_slave_rx2_inst|treg[37] ; spi_slave:spi_slave_rx2_inst|treg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.058 ; 0.935 ; +; 0.665 ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.058 ; 0.935 ; +; 0.666 ; spi_slave:spi_slave_rx_inst|treg[44] ; spi_slave:spi_slave_rx_inst|treg[45] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.934 ; +; 0.666 ; spi_slave:spi_slave_rx_inst|treg[32] ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.934 ; +; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.058 ; 0.937 ; +; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.058 ; 0.937 ; +; 0.667 ; spi_slave:spi_slave_rx_inst|treg[34] ; spi_slave:spi_slave_rx_inst|treg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; +; 0.668 ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_sck ; spi_sck ; 0.000 ; 0.111 ; 0.991 ; +; 0.668 ; spi_slave:spi_slave_rx_inst|treg[38] ; spi_slave:spi_slave_rx_inst|treg[39] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; +; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[21] ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.935 ; +; 0.669 ; spi_slave:spi_slave_rx2_inst|treg[27] ; spi_slave:spi_slave_rx2_inst|treg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.936 ; +; 0.669 ; spi_slave:spi_slave_rx_inst|treg[31] ; spi_slave:spi_slave_rx_inst|treg[32] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.937 ; +; 0.670 ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.937 ; +; 0.674 ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_slave:spi_slave_rx_inst|rdata[37] ; spi_sck ; spi_sck ; 0.000 ; 0.117 ; 1.003 ; +; 0.674 ; spi_slave:spi_slave_rx2_inst|rreg[21] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_sck ; spi_sck ; 0.000 ; 0.132 ; 1.018 ; +; 0.677 ; spi_slave:spi_slave_rx_inst|rreg[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_sck ; spi_sck ; 0.000 ; 0.111 ; 1.000 ; +; 0.677 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.131 ; 1.020 ; ++-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -1647,106 +1755,106 @@ No paths to report. +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; 0.454 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.746 ; ; 0.454 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.746 ; ; 0.454 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.746 ; -; 0.455 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 0.746 ; -; 0.748 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.040 ; -; 0.749 ; iambic:iambic_inst|key_state.PREDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.041 ; -; 0.752 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.043 ; +; 0.454 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.746 ; +; 0.454 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.746 ; +; 0.454 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.746 ; +; 0.511 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|key_state.DASHHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.803 ; +; 0.669 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 0.961 ; +; 0.734 ; iambic:iambic_inst|key_state.DOTHELD ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.081 ; 1.027 ; +; 0.743 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.035 ; +; 0.751 ; iambic:iambic_inst|key_state.PREDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.043 ; ; 0.753 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.045 ; -; 0.753 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.045 ; -; 0.754 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.046 ; ; 0.754 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.046 ; -; 0.755 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.047 ; -; 0.757 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.049 ; +; 0.755 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.047 ; +; 0.756 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.048 ; ; 0.758 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.050 ; -; 0.758 ; iambic:iambic_inst|delay[17] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.050 ; -; 0.763 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.055 ; -; 0.764 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.056 ; ; 0.764 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.056 ; -; 0.764 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.056 ; -; 0.765 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.057 ; -; 0.767 ; iambic:iambic_inst|key_state.PREDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.058 ; +; 0.770 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.062 ; +; 0.770 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.062 ; ; 0.770 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.062 ; +; 0.771 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.063 ; ; 0.771 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.063 ; +; 0.772 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.064 ; +; 0.772 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.064 ; ; 0.772 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.064 ; +; 0.772 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.064 ; ; 0.773 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.065 ; -; 0.789 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.081 ; -; 0.842 ; iambic:iambic_inst|key_state.DOTHELD ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.134 ; -; 0.868 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.160 ; -; 0.915 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.00000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.206 ; -; 1.059 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.351 ; -; 1.074 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.366 ; -; 1.107 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.399 ; +; 0.776 ; iambic:iambic_inst|delay[17] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.068 ; +; 0.783 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.075 ; +; 0.797 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.089 ; +; 0.833 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|key_state.DASHHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.125 ; +; 0.942 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.234 ; +; 0.956 ; iambic:iambic_inst|key_state.PREDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.081 ; 1.249 ; +; 1.066 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.357 ; +; 1.070 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.362 ; ; 1.107 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.399 ; -; 1.108 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.400 ; +; 1.109 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.401 ; ; 1.115 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.407 ; -; 1.116 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.408 ; -; 1.117 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.409 ; -; 1.118 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.410 ; -; 1.118 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.410 ; +; 1.117 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.409 ; ; 1.119 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.411 ; -; 1.119 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.411 ; -; 1.119 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.411 ; ; 1.124 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.416 ; +; 1.124 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.416 ; +; 1.124 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.416 ; ; 1.124 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.416 ; -; 1.125 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.417 ; +; 1.126 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.418 ; ; 1.126 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.417 ; -; 1.127 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.419 ; -; 1.127 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.419 ; +; 1.126 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.418 ; +; 1.126 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.418 ; +; 1.126 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.418 ; ; 1.132 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.424 ; +; 1.133 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.425 ; ; 1.133 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.425 ; ; 1.134 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.426 ; ; 1.135 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.426 ; -; 1.136 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.428 ; +; 1.135 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.426 ; +; 1.135 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.427 ; ; 1.141 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.433 ; +; 1.142 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.434 ; ; 1.142 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.434 ; ; 1.143 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.435 ; -; 1.198 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.489 ; +; 1.144 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.436 ; ; 1.238 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.530 ; -; 1.238 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.530 ; -; 1.239 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.531 ; -; 1.247 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.539 ; +; 1.240 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.532 ; ; 1.247 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.539 ; -; 1.248 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.540 ; -; 1.248 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.540 ; -; 1.249 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.541 ; -; 1.250 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.542 ; -; 1.250 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.542 ; +; 1.249 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.541 ; +; 1.255 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.547 ; ; 1.255 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.547 ; -; 1.256 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.548 ; +; 1.255 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.547 ; ; 1.256 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.547 ; -; 1.257 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.549 ; -; 1.258 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.550 ; -; 1.258 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.550 ; -; 1.259 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.551 ; +; 1.257 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.549 ; +; 1.257 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.549 ; +; 1.257 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.549 ; +; 1.257 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.549 ; +; 1.264 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.556 ; ; 1.264 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.556 ; -; 1.265 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.557 ; +; 1.264 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.556 ; ; 1.265 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.556 ; +; 1.266 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.558 ; +; 1.266 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.558 ; +; 1.266 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.558 ; ; 1.266 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.557 ; -; 1.267 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.559 ; -; 1.267 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.559 ; -; 1.273 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.565 ; ; 1.273 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.564 ; +; 1.273 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.565 ; +; 1.273 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.565 ; ; 1.274 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.566 ; ; 1.275 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.566 ; -; 1.276 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.568 ; +; 1.275 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.567 ; +; 1.282 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.574 ; ; 1.282 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.573 ; ; 1.282 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.574 ; +; 1.284 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.576 ; ; 1.378 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.670 ; -; 1.379 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.671 ; -; 1.379 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.670 ; +; 1.378 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.670 ; +; 1.380 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.672 ; ; 1.387 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.679 ; -; 1.388 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.680 ; -; 1.388 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.679 ; -; 1.388 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.680 ; -; 1.389 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.681 ; -; 1.390 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.682 ; -; 1.393 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.685 ; -; 1.396 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.688 ; +; 1.389 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.681 ; +; 1.395 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.080 ; 1.687 ; +; 1.396 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.687 ; +; 1.396 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.687 ; +; 1.396 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.079 ; 1.687 ; +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ @@ -1756,34 +1864,28 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; 0.454 ; ad9866:ad9866_inst|dut2_bitcount[3] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.746 ; ; 0.454 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.746 ; +; 0.455 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.746 ; ; 0.466 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.758 ; -; 0.643 ; ad9866:ad9866_inst|dut2_data[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.934 ; -; 0.643 ; ad9866:ad9866_inst|dut2_data[12] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.934 ; -; 0.644 ; ad9866:ad9866_inst|dut2_data[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.935 ; -; 0.644 ; ad9866:ad9866_inst|dut2_data[6] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.935 ; -; 0.644 ; ad9866:ad9866_inst|dut2_data[7] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.935 ; -; 0.644 ; ad9866:ad9866_inst|dut2_data[14] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.935 ; -; 0.645 ; ad9866:ad9866_inst|dut2_data[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.936 ; -; 0.645 ; ad9866:ad9866_inst|dut2_data[9] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.936 ; -; 0.645 ; ad9866:ad9866_inst|dut2_data[13] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.936 ; -; 0.646 ; ad9866:ad9866_inst|dut2_data[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.937 ; -; 0.647 ; ad9866:ad9866_inst|dut2_data[11] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 0.938 ; -; 0.700 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.992 ; +; 0.502 ; ad9866:ad9866_inst|dut2_data[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.794 ; +; 0.502 ; ad9866:ad9866_inst|dut2_data[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.794 ; +; 0.503 ; ad9866:ad9866_inst|dut2_data[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.795 ; +; 0.505 ; ad9866:ad9866_inst|dut2_data[0] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.797 ; +; 0.699 ; ad9866:ad9866_inst|dut2_data[6] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 0.991 ; +; 0.712 ; ad9866:ad9866_inst|dut2_data[9] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.003 ; ; 0.736 ; counter[11] ; counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.028 ; -; 0.737 ; counter[9] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.029 ; ; 0.737 ; counter[7] ; counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.029 ; +; 0.737 ; counter[9] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.029 ; ; 0.737 ; counter[1] ; counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.029 ; ; 0.738 ; counter[13] ; counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.030 ; ; 0.738 ; counter[17] ; counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.030 ; ; 0.738 ; counter[15] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.030 ; -; 0.739 ; counter[5] ; counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.031 ; -; 0.739 ; counter[3] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.031 ; ; 0.739 ; counter[2] ; counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.031 ; +; 0.739 ; counter[3] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.031 ; +; 0.739 ; counter[5] ; counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.031 ; +; 0.740 ; counter[8] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.032 ; ; 0.740 ; counter[10] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.032 ; ; 0.740 ; counter[12] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.032 ; -; 0.740 ; counter[8] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.032 ; ; 0.740 ; counter[21] ; counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.032 ; ; 0.740 ; counter[19] ; counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.032 ; ; 0.740 ; counter[18] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.032 ; @@ -1791,287 +1893,185 @@ No paths to report. ; 0.741 ; counter[6] ; counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.033 ; ; 0.741 ; counter[4] ; counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.033 ; ; 0.741 ; counter[16] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.033 ; -; 0.742 ; ad9866:ad9866_inst|dut2_data[10] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.033 ; +; 0.742 ; ad9866:ad9866_inst|dut2_data[8] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.033 ; ; 0.742 ; counter[22] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.034 ; ; 0.742 ; counter[20] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.034 ; -; 0.743 ; ad9866:ad9866_inst|dut2_data[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.034 ; -; 0.745 ; reset_handler:reset_handler_inst|reset_counter[9] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; -; 0.745 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; -; 0.745 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; -; 0.745 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; -; 0.745 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; -; 0.745 ; reset_handler:reset_handler_inst|reset_counter[11] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; +; 0.743 ; ad9866:ad9866_inst|dut2_data[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.035 ; +; 0.744 ; ad9866:ad9866_inst|dut2_data[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.036 ; +; 0.744 ; ad9866:ad9866_inst|dut2_data[10] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.036 ; +; 0.744 ; ad9866:ad9866_inst|dut2_data[11] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.036 ; ; 0.745 ; reset_handler:reset_handler_inst|reset_counter[10] ; reset_handler:reset_handler_inst|reset_counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; -; 0.746 ; reset_handler:reset_handler_inst|reset_counter[16] ; reset_handler:reset_handler_inst|reset_counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.038 ; -; 0.746 ; reset_handler:reset_handler_inst|reset_counter[7] ; reset_handler:reset_handler_inst|reset_counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.038 ; +; 0.745 ; reset_handler:reset_handler_inst|reset_counter[9] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.036 ; +; 0.745 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; reset_handler:reset_handler_inst|reset_counter[11] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; ad9866:ad9866_inst|dut2_data[12] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; ad9866:ad9866_inst|dut2_data[13] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.037 ; ; 0.746 ; reset_handler:reset_handler_inst|reset_counter[6] ; reset_handler:reset_handler_inst|reset_counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.038 ; -; 0.746 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.038 ; -; 0.747 ; reset_handler:reset_handler_inst|reset_counter[15] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.039 ; -; 0.748 ; reset_handler:reset_handler_inst|reset_counter[17] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.040 ; -; 0.748 ; reset_handler:reset_handler_inst|reset_counter[20] ; reset_handler:reset_handler_inst|reset_counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.040 ; +; 0.746 ; reset_handler:reset_handler_inst|reset_counter[4] ; reset_handler:reset_handler_inst|reset_counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.038 ; +; 0.746 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.037 ; +; 0.746 ; ad9866:ad9866_inst|dut2_data[7] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.037 ; +; 0.746 ; reset_handler:reset_handler_inst|reset_counter[7] ; reset_handler:reset_handler_inst|reset_counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.038 ; +; 0.747 ; reset_handler:reset_handler_inst|reset_counter[15] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.038 ; +; 0.747 ; reset_handler:reset_handler_inst|reset_counter[16] ; reset_handler:reset_handler_inst|reset_counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.038 ; +; 0.747 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.038 ; +; 0.748 ; reset_handler:reset_handler_inst|reset_counter[17] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.039 ; +; 0.748 ; reset_handler:reset_handler_inst|reset_counter[13] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.039 ; ; 0.749 ; reset_handler:reset_handler_inst|reset_counter[3] ; reset_handler:reset_handler_inst|reset_counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.041 ; -; 0.749 ; reset_handler:reset_handler_inst|reset_counter[5] ; reset_handler:reset_handler_inst|reset_counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.041 ; -; 0.750 ; reset_handler:reset_handler_inst|reset_counter[21] ; reset_handler:reset_handler_inst|reset_counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.042 ; -; 0.750 ; reset_handler:reset_handler_inst|reset_counter[23] ; reset_handler:reset_handler_inst|reset_counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.042 ; -; 0.750 ; reset_handler:reset_handler_inst|reset_counter[19] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.042 ; +; 0.751 ; reset_handler:reset_handler_inst|reset_counter[23] ; reset_handler:reset_handler_inst|reset_counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.042 ; +; 0.751 ; reset_handler:reset_handler_inst|reset_counter[19] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.042 ; ; 0.763 ; counter[0] ; counter[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.055 ; -; 0.764 ; reset_handler:reset_handler_inst|reset_counter[4] ; reset_handler:reset_handler_inst|reset_counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.056 ; -; 0.765 ; reset_handler:reset_handler_inst|reset_counter[22] ; reset_handler:reset_handler_inst|reset_counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.057 ; ; 0.766 ; counter[23] ; counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.058 ; ; 0.766 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.058 ; -; 0.767 ; reset_handler:reset_handler_inst|reset_counter[1] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.059 ; -; 0.797 ; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.056 ; 1.065 ; -; 0.806 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.097 ; -; 0.816 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.107 ; -; 0.858 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.056 ; 1.126 ; -; 0.859 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.151 ; -; 0.877 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.169 ; -; 0.955 ; reset_handler:reset_handler_inst|reset_counter[13] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.247 ; -; 0.967 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.279 ; 1.458 ; -; 0.976 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.279 ; 1.467 ; -; 1.000 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.292 ; -; 1.016 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.056 ; 1.284 ; -; 1.080 ; counter[11] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.091 ; 1.383 ; +; 0.766 ; reset_handler:reset_handler_inst|reset_counter[1] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.058 ; +; 0.798 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.090 ; +; 0.818 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.545 ; 1.575 ; +; 0.819 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.057 ; 1.088 ; +; 0.820 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.057 ; 1.089 ; +; 0.827 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.545 ; 1.584 ; +; 0.827 ; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.057 ; 1.096 ; +; 0.879 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.545 ; 1.636 ; +; 0.888 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.545 ; 1.645 ; +; 0.950 ; reset_handler:reset_handler_inst|reset_counter[5] ; reset_handler:reset_handler_inst|reset_counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.242 ; +; 0.952 ; reset_handler:reset_handler_inst|reset_counter[21] ; reset_handler:reset_handler_inst|reset_counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.243 ; +; 0.958 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.545 ; 1.715 ; +; 0.966 ; reset_handler:reset_handler_inst|reset_counter[20] ; reset_handler:reset_handler_inst|reset_counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.257 ; +; 0.967 ; reset_handler:reset_handler_inst|reset_counter[22] ; reset_handler:reset_handler_inst|reset_counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.258 ; +; 0.982 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.273 ; +; 0.983 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.275 ; +; 1.003 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.295 ; +; 1.011 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.303 ; +; 1.017 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.309 ; +; 1.017 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.309 ; +; 1.019 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.545 ; 1.776 ; +; 1.029 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.320 ; +; 1.040 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|sen_n ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.628 ; 1.880 ; +; 1.090 ; counter[11] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.081 ; 1.383 ; ; 1.092 ; counter[1] ; counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.384 ; +; 1.092 ; counter[9] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.384 ; ; 1.092 ; counter[13] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.384 ; ; 1.092 ; counter[7] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.384 ; -; 1.092 ; counter[9] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.384 ; ; 1.093 ; counter[17] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.385 ; -; 1.093 ; counter[5] ; counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.385 ; ; 1.093 ; counter[15] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.385 ; +; 1.093 ; counter[5] ; counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.385 ; ; 1.093 ; counter[3] ; counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.385 ; ; 1.094 ; counter[21] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.386 ; ; 1.094 ; counter[19] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.386 ; -; 1.099 ; counter[10] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.091 ; 1.402 ; +; 1.099 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.390 ; ; 1.099 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.391 ; -; 1.099 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.391 ; ; 1.099 ; reset_handler:reset_handler_inst|reset_counter[10] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.391 ; -; 1.099 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.391 ; -; 1.100 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.392 ; -; 1.100 ; reset_handler:reset_handler_inst|reset_counter[6] ; reset_handler:reset_handler_inst|reset_counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.392 ; -; 1.100 ; reset_handler:reset_handler_inst|reset_counter[16] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.392 ; -; 1.100 ; counter[2] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.392 ; -; 1.101 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.279 ; 1.592 ; -; 1.101 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.393 ; -; 1.101 ; counter[14] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.393 ; -; 1.101 ; counter[8] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.393 ; +; 1.100 ; reset_handler:reset_handler_inst|reset_counter[4] ; reset_handler:reset_handler_inst|reset_counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.080 ; 1.392 ; +; 1.100 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.079 ; 1.391 ; +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'spi_ce1' ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.746 ; -; 0.478 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.056 ; 0.746 ; -; 0.507 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.057 ; 0.776 ; -; 0.548 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.818 ; -; 0.549 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.819 ; -; 0.557 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.827 ; -; 0.558 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 0.828 ; -; 0.648 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.057 ; 0.917 ; -; 0.756 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.026 ; -; 0.756 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.283 ; 1.251 ; -; 0.758 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.028 ; -; 0.766 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.057 ; 1.035 ; -; 0.773 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.156 ; 0.829 ; -; 0.815 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.085 ; -; 0.818 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.374 ; 1.404 ; -; 0.828 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.018 ; 1.022 ; -; 0.828 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.178 ; 1.260 ; -; 0.858 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.018 ; 1.052 ; -; 0.861 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.242 ; 1.315 ; -; 0.896 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.166 ; -; 0.964 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.227 ; -; 0.973 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.156 ; 1.029 ; -; 0.973 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.236 ; -; 0.988 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.251 ; -; 1.006 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.269 ; -; 1.016 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.156 ; 1.072 ; -; 1.021 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.284 ; -; 1.028 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.156 ; 1.084 ; -; 1.045 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.236 ; 1.535 ; -; 1.070 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.245 ; 1.569 ; -; 1.079 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.374 ; 1.665 ; -; 1.081 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.018 ; 1.275 ; -; 1.122 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.283 ; 1.617 ; -; 1.142 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.029 ; 1.325 ; -; 1.152 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.283 ; 1.647 ; -; 1.170 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.440 ; -; 1.170 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.156 ; 1.226 ; -; 1.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.067 ; 1.520 ; -; 1.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.374 ; 1.785 ; -; 1.209 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 1.539 ; -; 1.210 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.156 ; 1.266 ; -; 1.217 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.487 ; -; 1.218 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 1.548 ; -; 1.224 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 1.554 ; -; 1.232 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.124 ; 1.320 ; -; 1.235 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.505 ; -; 1.240 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.510 ; -; 1.240 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 1.570 ; -; 1.243 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.067 ; 1.564 ; -; 1.244 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.067 ; 1.565 ; -; 1.256 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.067 ; 1.577 ; -; 1.277 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.013 ; 1.502 ; -; 1.284 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.027 ; 1.523 ; -; 1.296 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.566 ; -; 1.356 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.013 ; 1.581 ; -; 1.361 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.241 ; 1.814 ; -; 1.385 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.198 ; 1.795 ; -; 1.429 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.156 ; 1.485 ; -; 1.441 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 1.771 ; -; 1.445 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.067 ; 1.766 ; -; 1.446 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.124 ; 1.534 ; -; 1.477 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.241 ; 1.930 ; -; 1.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.751 ; -; 1.497 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.767 ; -; 1.502 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.124 ; 1.590 ; -; 1.517 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.067 ; 1.838 ; -; 1.530 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.374 ; 2.116 ; -; 1.543 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.813 ; -; 1.559 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.829 ; -; 1.575 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.845 ; -; 1.577 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.124 ; 1.665 ; -; 1.580 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.850 ; -; 1.586 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.849 ; -; 1.620 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 1.890 ; -; 1.627 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.062 ; 1.777 ; -; 1.635 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.241 ; 2.088 ; -; 1.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.203 ; 2.118 ; -; 1.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.283 ; 2.156 ; -; 1.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.283 ; 2.171 ; -; 1.696 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 2.026 ; -; 1.760 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.241 ; 2.213 ; -; 1.799 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 2.069 ; -; 1.832 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.374 ; 2.418 ; -; 1.841 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.374 ; 2.427 ; -; 1.861 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 2.131 ; -; 1.879 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 2.149 ; -; 1.891 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 2.161 ; -; 1.906 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 2.176 ; -; 1.912 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 2.242 ; -; 2.021 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.076 ; 2.351 ; -; 2.056 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.194 ; 2.504 ; -; 2.139 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.058 ; 2.409 ; -; 2.161 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.136 ; 2.551 ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'spi_sck' ; -+-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.476 ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.803 ; -; 0.476 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.803 ; -; 0.482 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.802 ; -; 0.483 ; spi_slave:spi_slave_rx2_inst|rreg[0] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.803 ; -; 0.484 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.804 ; -; 0.492 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.819 ; -; 0.498 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_sck ; spi_sck ; 0.000 ; 0.109 ; 0.819 ; -; 0.500 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_sck ; spi_sck ; 0.000 ; 0.109 ; 0.821 ; -; 0.503 ; spi_slave:spi_slave_rx_inst|rreg[13] ; spi_slave:spi_slave_rx_inst|rdata[14] ; spi_sck ; spi_sck ; 0.000 ; 0.106 ; 0.821 ; -; 0.504 ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_slave:spi_slave_rx_inst|rdata[16] ; spi_sck ; spi_sck ; 0.000 ; 0.106 ; 0.822 ; -; 0.508 ; spi_slave:spi_slave_rx_inst|treg[7] ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.777 ; -; 0.508 ; spi_slave:spi_slave_rx2_inst|treg[7] ; spi_slave:spi_slave_rx2_inst|treg[8] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.777 ; -; 0.525 ; spi_slave:spi_slave_rx2_inst|treg[0] ; spi_slave:spi_slave_rx2_inst|treg[1] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.794 ; -; 0.525 ; spi_slave:spi_slave_rx2_inst|treg[3] ; spi_slave:spi_slave_rx2_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.794 ; -; 0.526 ; spi_slave:spi_slave_rx_inst|treg[5] ; spi_slave:spi_slave_rx_inst|treg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.795 ; -; 0.527 ; spi_slave:spi_slave_rx_inst|rreg[37] ; spi_slave:spi_slave_rx_inst|rreg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.795 ; -; 0.533 ; spi_slave:spi_slave_rx2_inst|rreg[5] ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.801 ; -; 0.533 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.801 ; -; 0.534 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.801 ; -; 0.534 ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_slave:spi_slave_rx2_inst|rreg[7] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.802 ; -; 0.535 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; -; 0.535 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.803 ; -; 0.535 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rreg[19] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.802 ; -; 0.536 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.803 ; -; 0.536 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.053 ; 0.801 ; -; 0.536 ; spi_slave:spi_slave_rx_inst|rreg[5] ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.802 ; -; 0.536 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.802 ; -; 0.536 ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.802 ; -; 0.536 ; spi_slave:spi_slave_rx2_inst|rreg[0] ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.803 ; -; 0.536 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.802 ; -; 0.536 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.803 ; -; 0.537 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.055 ; 0.804 ; -; 0.538 ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_slave:spi_slave_rx_inst|rreg[7] ; spi_sck ; spi_sck ; 0.000 ; 0.054 ; 0.804 ; -; 0.538 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.053 ; 0.803 ; -; 0.538 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.053 ; 0.803 ; -; 0.552 ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_slave:spi_slave_rx_inst|rreg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.820 ; -; 0.552 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.820 ; -; 0.552 ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.820 ; -; 0.556 ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_slave:spi_slave_rx_inst|rreg[29] ; spi_sck ; spi_sck ; 0.000 ; 0.053 ; 0.821 ; -; 0.566 ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_slave:spi_slave_rx_inst|rdata[7] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.802 ; -; 0.567 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.803 ; -; 0.568 ; spi_slave:spi_slave_rx_inst|rreg[5] ; spi_slave:spi_slave_rx_inst|rdata[6] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.804 ; -; 0.591 ; spi_slave:spi_slave_rx_inst|rreg[29] ; spi_slave:spi_slave_rx_inst|rreg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.195 ; 0.998 ; -; 0.593 ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_sck ; spi_sck ; 0.000 ; 0.016 ; 0.821 ; -; 0.613 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.802 ; -; 0.614 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rdata[19] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.803 ; -; 0.615 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rdata[23] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.804 ; -; 0.617 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rdata[22] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.806 ; -; 0.630 ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_sck ; spi_sck ; 0.000 ; -0.046 ; 0.796 ; -; 0.631 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.820 ; -; 0.635 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rdata[40] ; spi_sck ; spi_sck ; 0.000 ; -0.046 ; 0.801 ; -; 0.652 ; spi_slave:spi_slave_rx_inst|rreg[14] ; spi_slave:spi_slave_rx_inst|rdata[15] ; spi_sck ; spi_sck ; 0.000 ; 0.125 ; 0.989 ; -; 0.658 ; spi_slave:spi_slave_rx2_inst|rreg[5] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_sck ; spi_sck ; 0.000 ; -0.067 ; 0.803 ; -; 0.659 ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_sck ; spi_sck ; 0.000 ; -0.067 ; 0.804 ; -; 0.659 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; -0.067 ; 0.804 ; -; 0.665 ; spi_slave:spi_slave_rx_inst|treg[14] ; spi_slave:spi_slave_rx_inst|treg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.934 ; -; 0.665 ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.934 ; -; 0.665 ; spi_slave:spi_slave_rx_inst|treg[40] ; spi_slave:spi_slave_rx_inst|treg[41] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.934 ; -; 0.665 ; spi_slave:spi_slave_rx2_inst|treg[10] ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.934 ; -; 0.666 ; spi_slave:spi_slave_rx_inst|treg[11] ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.935 ; -; 0.666 ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.935 ; -; 0.666 ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_slave:spi_slave_rx_inst|treg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.935 ; -; 0.666 ; spi_slave:spi_slave_rx2_inst|treg[8] ; spi_slave:spi_slave_rx2_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.935 ; -; 0.666 ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_slave:spi_slave_rx2_inst|treg[12] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.935 ; -; 0.666 ; spi_slave:spi_slave_rx2_inst|treg[12] ; spi_slave:spi_slave_rx2_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.935 ; -; 0.666 ; spi_slave:spi_slave_rx2_inst|treg[14] ; spi_slave:spi_slave_rx2_inst|treg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_slave:spi_slave_rx_inst|treg[10] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.936 ; -; 0.667 ; spi_slave:spi_slave_rx_inst|treg[26] ; spi_slave:spi_slave_rx_inst|treg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx_inst|treg[25] ; spi_slave:spi_slave_rx_inst|treg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx_inst|treg[39] ; spi_slave:spi_slave_rx_inst|treg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.936 ; -; 0.667 ; spi_slave:spi_slave_rx_inst|treg[32] ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.936 ; -; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[19] ; spi_slave:spi_slave_rx2_inst|treg[20] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.935 ; -; 0.667 ; spi_slave:spi_slave_rx2_inst|treg[13] ; spi_slave:spi_slave_rx2_inst|treg[14] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.936 ; -; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_slave:spi_slave_rx2_inst|treg[44] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; -; 0.668 ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_slave:spi_slave_rx_inst|treg[14] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.937 ; -; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[45] ; spi_slave:spi_slave_rx2_inst|treg[46] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; -; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; -; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[16] ; spi_slave:spi_slave_rx2_inst|treg[17] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; -; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_slave:spi_slave_rx2_inst|treg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; -; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; -; 0.668 ; spi_slave:spi_slave_rx_inst|treg[41] ; spi_slave:spi_slave_rx_inst|treg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.937 ; -; 0.668 ; spi_slave:spi_slave_rx_inst|treg[36] ; spi_slave:spi_slave_rx_inst|treg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.057 ; 0.937 ; -; 0.668 ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.936 ; -; 0.670 ; spi_slave:spi_slave_rx_inst|treg[18] ; spi_slave:spi_slave_rx_inst|treg[19] ; spi_sck ; spi_sck ; 0.000 ; 0.056 ; 0.938 ; -; 0.671 ; spi_slave:spi_slave_rx2_inst|rreg[11] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.998 ; -; 0.672 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.999 ; -; 0.674 ; spi_slave:spi_slave_rx2_inst|rreg[10] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 1.001 ; -; 0.675 ; spi_slave:spi_slave_rx2_inst|rreg[12] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 1.002 ; -; 0.675 ; spi_slave:spi_slave_rx2_inst|rreg[23] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; -0.067 ; 0.820 ; -; 0.679 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_sck ; spi_sck ; 0.000 ; 0.109 ; 1.000 ; -; 0.679 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_sck ; spi_sck ; 0.000 ; 0.109 ; 1.000 ; -; 0.680 ; spi_slave:spi_slave_rx_inst|rreg[11] ; spi_slave:spi_slave_rx_inst|rdata[12] ; spi_sck ; spi_sck ; 0.000 ; 0.106 ; 0.998 ; -; 0.680 ; spi_slave:spi_slave_rx_inst|rreg[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_sck ; spi_sck ; 0.000 ; 0.106 ; 0.998 ; -; 0.681 ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 1.001 ; -; 0.681 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.106 ; 0.999 ; -; 0.682 ; spi_slave:spi_slave_rx_inst|rreg[12] ; spi_slave:spi_slave_rx_inst|rdata[13] ; spi_sck ; spi_sck ; 0.000 ; 0.106 ; 1.000 ; -+-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' ; ++-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; 0.455 ; profile:profile_CW|hang_PTT ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.455 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0011 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.455 ; profile:profile_CW|enable_hang ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.455 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.455 ; profile:profile_CW|char_PTT ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.455 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.455 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.455 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; +; 0.493 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.784 ; +; 0.504 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.795 ; +; 0.517 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.808 ; +; 0.729 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.447 ; +; 0.731 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.450 ; +; 0.741 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.460 ; +; 0.745 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.036 ; +; 0.745 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.037 ; +; 0.746 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.038 ; +; 0.746 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.038 ; +; 0.746 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.038 ; +; 0.746 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.037 ; +; 0.746 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.037 ; +; 0.746 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.037 ; +; 0.746 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.038 ; +; 0.746 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.038 ; +; 0.747 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.039 ; +; 0.747 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.039 ; +; 0.747 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; +; 0.747 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; +; 0.747 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.038 ; +; 0.748 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.040 ; +; 0.748 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; +; 0.748 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; +; 0.748 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; +; 0.748 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; +; 0.748 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.039 ; +; 0.749 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.041 ; +; 0.758 ; profile:profile_CW|timer[17] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.050 ; +; 0.758 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.050 ; +; 0.759 ; profile:profile_CW|hang_timer[17] ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.050 ; +; 0.760 ; profile:profile_CW|hang_timer[16] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.051 ; +; 0.764 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.056 ; +; 0.765 ; profile:profile_CW|hang_timer[8] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.056 ; +; 0.766 ; profile:profile_CW|hang_timer[14] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.057 ; +; 0.770 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.489 ; +; 0.772 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.064 ; +; 0.773 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.064 ; +; 0.778 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.069 ; +; 0.792 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.511 ; +; 0.793 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.512 ; +; 0.798 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.517 ; +; 0.806 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.525 ; +; 0.809 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.527 ; +; 0.816 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.107 ; +; 0.818 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.536 ; +; 0.834 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.553 ; +; 0.835 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.126 ; +; 0.839 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.130 ; +; 0.947 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.239 ; +; 0.956 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.248 ; +; 0.985 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.276 ; +; 1.001 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.465 ; 1.720 ; +; 1.070 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.788 ; +; 1.073 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.791 ; +; 1.078 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.796 ; +; 1.096 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.387 ; +; 1.099 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.391 ; +; 1.099 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.391 ; +; 1.099 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.391 ; +; 1.099 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.390 ; +; 1.100 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.392 ; +; 1.100 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.391 ; +; 1.100 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.391 ; +; 1.100 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.392 ; +; 1.101 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.393 ; +; 1.101 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.392 ; +; 1.101 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.393 ; +; 1.101 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.392 ; +; 1.102 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.393 ; +; 1.102 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.393 ; +; 1.103 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.394 ; +; 1.107 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.399 ; +; 1.107 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.399 ; +; 1.107 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.398 ; +; 1.107 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.825 ; +; 1.108 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.399 ; +; 1.108 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.400 ; +; 1.109 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.401 ; +; 1.109 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.400 ; +; 1.109 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.400 ; +; 1.110 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.402 ; +; 1.110 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.402 ; +; 1.111 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.402 ; +; 1.112 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.464 ; 1.830 ; +; 1.116 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.408 ; +; 1.116 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.408 ; +; 1.116 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.407 ; +; 1.117 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 1.408 ; +; 1.117 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 1.409 ; ++-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2079,268 +2079,268 @@ No paths to report. +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -; 0.564 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 1.286 ; -; 0.678 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.094 ; 2.014 ; -; 0.771 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.056 ; 2.069 ; -; 0.776 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.059 ; 2.077 ; -; 0.832 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.546 ; -; 0.838 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.047 ; 2.127 ; -; 0.839 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.056 ; 2.137 ; -; 0.841 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.067 ; 2.150 ; -; 0.845 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.033 ; 2.120 ; -; 0.846 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.560 ; -; 0.850 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.055 ; 2.147 ; -; 0.851 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.033 ; 2.126 ; -; 0.853 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.047 ; 2.142 ; -; 0.855 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.594 ; -; 0.856 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.570 ; -; 0.860 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 1.582 ; -; 0.860 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.574 ; -; 0.865 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.601 ; -; 0.865 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.618 ; -; 0.867 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.581 ; -; 0.868 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.604 ; -; 0.868 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.582 ; -; 0.870 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.584 ; -; 0.872 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.625 ; -; 0.876 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.612 ; -; 0.877 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.630 ; -; 0.877 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.591 ; -; 0.878 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.631 ; -; 0.878 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.614 ; -; 0.879 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.055 ; 2.176 ; -; 0.885 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.624 ; -; 0.885 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.599 ; -; 0.885 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.966 ; 1.660 ; -; 0.887 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.601 ; -; 0.889 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.067 ; 2.198 ; -; 0.889 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.603 ; -; 0.890 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.643 ; -; 0.890 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.604 ; -; 0.891 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.644 ; -; 0.892 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.631 ; -; 0.894 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.033 ; 2.169 ; -; 0.895 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.631 ; -; 0.896 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.635 ; -; 0.902 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.655 ; -; 0.904 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.657 ; -; 0.905 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.641 ; -; 0.907 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.621 ; -; 0.908 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.944 ; 1.661 ; -; 0.908 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.622 ; -; 0.914 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.653 ; -; 0.921 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.635 ; -; 0.925 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.661 ; -; 0.938 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 1.660 ; -; 0.945 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.684 ; -; 0.953 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.667 ; -; 0.972 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.824 ; 1.605 ; -; 0.986 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.824 ; 1.619 ; -; 1.020 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.067 ; 2.329 ; -; 1.032 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.952 ; 2.226 ; -; 1.042 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.056 ; 2.340 ; -; 1.059 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.033 ; 2.334 ; -; 1.060 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.824 ; 1.693 ; -; 1.092 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.058 ; 2.392 ; -; 1.115 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.058 ; 2.415 ; -; 1.117 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.966 ; 1.892 ; -; 1.120 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.056 ; 2.418 ; -; 1.140 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.033 ; 2.415 ; -; 1.141 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.905 ; 1.855 ; -; 1.180 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.966 ; 1.955 ; -; 1.181 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.927 ; 1.917 ; -; 1.191 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.980 ; 1.980 ; -; 1.195 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 1.917 ; -; 1.199 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.964 ; 2.405 ; -; 1.206 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.964 ; 2.412 ; -; 1.208 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.947 ; -; 1.209 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.058 ; 2.509 ; -; 1.210 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.034 ; 2.486 ; -; 1.231 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.952 ; 2.425 ; -; 1.240 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.094 ; 2.576 ; -; 1.241 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.966 ; 2.016 ; -; 1.242 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 1.964 ; -; 1.242 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.981 ; -; 1.251 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 1.990 ; -; 1.282 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 2.004 ; -; 1.287 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.966 ; 2.062 ; -; 1.330 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.824 ; 1.963 ; -; 1.393 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.099 ; 1.536 ; -; 1.427 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.055 ; 2.724 ; -; 1.440 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.094 ; 2.776 ; -; 1.449 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 2.171 ; -; 1.451 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.966 ; 2.226 ; -; 1.472 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.094 ; 2.808 ; -; 1.472 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.073 ; 2.787 ; -; 1.478 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.966 ; 2.253 ; -; 1.498 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.033 ; 2.773 ; -; 1.514 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.067 ; 2.823 ; -; 1.554 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 1.056 ; 2.852 ; -; 1.578 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.913 ; 2.300 ; -; 1.586 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.452 ; 1.376 ; -; 1.602 ; spi_slave:spi_slave_rx_inst|rdata[33] ; tx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.492 ; 1.352 ; +; 0.709 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.731 ; 1.249 ; +; 0.716 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.731 ; 1.256 ; +; 0.723 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.731 ; 1.263 ; +; 0.738 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.731 ; 1.278 ; +; 0.740 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.731 ; 1.280 ; +; 0.950 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.858 ; 2.050 ; +; 0.950 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.876 ; 2.068 ; +; 1.014 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.880 ; 2.136 ; +; 1.020 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.876 ; 2.138 ; +; 1.034 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.850 ; 2.126 ; +; 1.038 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 1.576 ; +; 1.045 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.581 ; +; 1.045 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 1.583 ; +; 1.052 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.587 ; +; 1.053 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.858 ; 2.153 ; +; 1.053 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.587 ; +; 1.055 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.590 ; +; 1.059 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.748 ; 1.616 ; +; 1.060 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.748 ; 1.617 ; +; 1.063 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.599 ; +; 1.065 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 1.603 ; +; 1.065 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.600 ; +; 1.069 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.605 ; +; 1.071 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 1.609 ; +; 1.071 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.605 ; +; 1.076 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.860 ; 2.178 ; +; 1.076 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.850 ; 2.168 ; +; 1.076 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 1.609 ; +; 1.077 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.612 ; +; 1.078 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.612 ; +; 1.078 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 1.611 ; +; 1.080 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.614 ; +; 1.083 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 1.616 ; +; 1.084 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.619 ; +; 1.090 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.625 ; +; 1.092 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.626 ; +; 1.092 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.626 ; +; 1.095 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.631 ; +; 1.099 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.858 ; 2.199 ; +; 1.101 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.748 ; 1.658 ; +; 1.102 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.748 ; 1.659 ; +; 1.102 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 1.635 ; +; 1.102 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.638 ; +; 1.102 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.636 ; +; 1.106 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.641 ; +; 1.108 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.726 ; 1.643 ; +; 1.112 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 1.645 ; +; 1.123 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.860 ; 2.225 ; +; 1.128 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.664 ; +; 1.128 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.858 ; 2.228 ; +; 1.144 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.546 ; 1.932 ; +; 1.151 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.748 ; 1.708 ; +; 1.234 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.857 ; 2.333 ; +; 1.238 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.876 ; 2.356 ; +; 1.242 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.876 ; 2.360 ; +; 1.261 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.859 ; 2.362 ; +; 1.271 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.558 ; 2.071 ; +; 1.276 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.850 ; 2.368 ; +; 1.305 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.840 ; 2.387 ; +; 1.309 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.875 ; 2.426 ; +; 1.332 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.430 ; 1.571 ; +; 1.334 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.858 ; 2.434 ; +; 1.343 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.731 ; 1.883 ; +; 1.348 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.428 ; 1.585 ; +; 1.355 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.430 ; 1.594 ; +; 1.363 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 1.896 ; +; 1.376 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.746 ; 1.931 ; +; 1.376 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.428 ; 1.613 ; +; 1.377 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.428 ; 1.614 ; +; 1.381 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.428 ; 1.618 ; +; 1.389 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.564 ; 2.195 ; +; 1.397 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.854 ; 2.493 ; +; 1.402 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 1.940 ; +; 1.402 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.746 ; 1.957 ; +; 1.410 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.430 ; 1.649 ; +; 1.412 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.741 ; 1.962 ; +; 1.414 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.746 ; 1.969 ; +; 1.417 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.953 ; +; 1.427 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 1.960 ; +; 1.433 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.746 ; 1.988 ; +; 1.435 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.428 ; 1.672 ; +; 1.441 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.862 ; 2.545 ; +; 1.479 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.564 ; 2.285 ; +; 1.490 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.743 ; 2.042 ; +; 1.515 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.860 ; 2.617 ; +; 1.516 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.562 ; 2.320 ; +; 1.524 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.860 ; 2.626 ; +; 1.551 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.883 ; 2.676 ; +; 1.562 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.862 ; 2.666 ; +; 1.571 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.854 ; 2.667 ; +; 1.597 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.677 ; 1.162 ; +; 1.623 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.430 ; 1.862 ; +; 1.648 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.862 ; 2.752 ; +; 1.690 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 2.223 ; +; 1.695 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.430 ; 1.934 ; +; 1.724 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 2.262 ; +; 1.748 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.860 ; 2.850 ; +; 1.759 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.391 ; 1.610 ; +; 1.791 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.744 ; 1.289 ; +; 1.813 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.677 ; 1.378 ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; 1.174 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.929 ; 6.364 ; -; 1.229 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.927 ; 6.417 ; -; 1.353 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.775 ; 6.389 ; -; 1.374 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.775 ; 6.410 ; -; 1.452 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.775 ; 6.488 ; -; 1.583 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.718 ; 6.562 ; -; 1.374 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.776 ; 6.411 ; -; 1.371 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.912 ; 6.544 ; -; 1.733 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.715 ; 6.709 ; -; 1.774 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.926 ; 6.961 ; -; 1.416 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.778 ; 6.455 ; -; 1.236 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.701 ; 6.198 ; -; 1.720 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.712 ; 6.693 ; -; 6.096 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.775 ; 6.152 ; -; 6.160 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.715 ; 6.156 ; -; 6.186 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.775 ; 6.242 ; -; 6.207 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.776 ; 6.264 ; -; 6.218 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.929 ; 6.428 ; -; 6.220 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.775 ; 6.276 ; -; 6.134 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.912 ; 6.327 ; -; 6.298 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.927 ; 6.506 ; -; 6.272 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.778 ; 6.331 ; -; 6.356 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.718 ; 6.355 ; -; 6.105 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.701 ; 6.087 ; -; 6.439 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.926 ; 6.646 ; -; 6.520 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.712 ; 6.513 ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ - - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ -; 1.564 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.381 ; 0.992 ; -; 1.568 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.381 ; 0.996 ; -; 1.573 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.381 ; 1.001 ; -; 1.604 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.381 ; 1.032 ; -; 1.613 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.381 ; 1.041 ; -; 1.718 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.518 ; 1.009 ; -; 1.722 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.518 ; 1.013 ; -; 1.722 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.518 ; 1.013 ; -; 1.726 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.023 ; -; 1.740 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.037 ; -; 1.741 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.518 ; 1.032 ; -; 1.746 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.043 ; -; 1.748 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.045 ; -; 1.751 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.518 ; 1.042 ; -; 1.760 ; spi_slave:spi_slave_rx2_inst|rdata[35] ; keyer_weight[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.583 ; 0.986 ; -; 1.763 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.583 ; 0.989 ; -; 1.764 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.583 ; 0.990 ; -; 1.796 ; spi_slave:spi_slave_rx2_inst|rdata[37] ; keyer_weight[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.592 ; 1.013 ; -; 1.805 ; spi_slave:spi_slave_rx2_inst|rdata[36] ; keyer_weight[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.592 ; 1.022 ; -; 1.813 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.583 ; 1.039 ; -; 1.815 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.381 ; 1.243 ; -; 1.833 ; spi_slave:spi_slave_rx2_inst|rdata[45] ; cw_speed[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.513 ; 1.562 ; -; 1.861 ; spi_slave:spi_slave_rx2_inst|rdata[43] ; cw_speed[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.513 ; 1.590 ; -; 1.869 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.511 ; 1.600 ; -; 1.873 ; spi_slave:spi_slave_rx2_inst|rdata[42] ; cw_speed[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.513 ; 1.602 ; -; 1.884 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.381 ; 1.312 ; -; 1.933 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.386 ; 1.356 ; -; 1.941 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.367 ; -; 1.948 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.374 ; -; 1.954 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.379 ; 1.817 ; -; 1.963 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.516 ; 1.256 ; -; 1.968 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.394 ; -; 1.973 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.270 ; -; 1.977 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.518 ; 1.268 ; -; 1.979 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.405 ; -; 1.980 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.277 ; -; 1.987 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.367 ; 1.429 ; -; 2.007 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.519 ; 1.730 ; -; 2.018 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.371 ; 1.889 ; -; 2.024 ; spi_slave:spi_slave_rx2_inst|rdata[38] ; keyer_weight[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.592 ; 1.241 ; -; 2.036 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.516 ; 1.329 ; -; 2.039 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.502 ; 1.346 ; -; 2.039 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.513 ; 1.768 ; -; 2.052 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.522 ; 1.339 ; -; 2.069 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.521 ; 1.357 ; -; 2.071 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.502 ; 1.378 ; -; 2.076 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.528 ; 1.357 ; -; 2.078 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.516 ; 1.371 ; -; 2.081 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.521 ; 1.369 ; -; 2.082 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.360 ; -; 2.090 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.579 ; 1.320 ; -; 2.091 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.522 ; 1.378 ; -; 2.092 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.370 ; -; 2.099 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.522 ; 1.386 ; -; 2.100 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.516 ; 1.393 ; -; 2.114 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.584 ; 1.339 ; -; 2.114 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.591 ; 1.332 ; -; 2.122 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.513 ; 1.851 ; -; 2.126 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.404 ; -; 2.127 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.581 ; 1.355 ; -; 2.130 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.593 ; 1.346 ; -; 2.137 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.588 ; 1.358 ; -; 2.142 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.570 ; 1.381 ; -; 2.145 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.571 ; -; 2.146 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.572 ; -; 2.150 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.570 ; 1.389 ; -; 2.153 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.600 ; 1.362 ; -; 2.156 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.582 ; -; 2.158 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.584 ; 1.383 ; -; 2.161 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.584 ; 1.386 ; -; 2.162 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.588 ; -; 2.165 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.597 ; 1.377 ; -; 2.169 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.383 ; 1.595 ; -; 2.177 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.588 ; 1.398 ; -; 2.188 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.600 ; 1.397 ; -; 2.203 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.597 ; 1.415 ; -; 2.213 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.367 ; 1.655 ; -; 2.253 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.386 ; 1.676 ; -; 2.256 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.553 ; -; 2.261 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.365 ; 1.705 ; -; 2.275 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.379 ; 1.705 ; -; 2.276 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.365 ; 1.720 ; -; 2.284 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.377 ; 1.716 ; -; 2.285 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.379 ; 1.715 ; -; 2.290 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.379 ; 1.720 ; -; 2.295 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.365 ; 1.739 ; -; 2.301 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.528 ; 1.582 ; -; 2.304 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.518 ; 1.595 ; -; 2.305 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.583 ; -; 2.307 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.365 ; 1.751 ; -; 2.318 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.528 ; 1.599 ; -; 2.320 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.598 ; -; 2.324 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.365 ; 1.768 ; -; 2.326 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.522 ; 1.613 ; -; 2.331 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.522 ; 1.618 ; -; 2.336 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.633 ; -; 2.337 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.379 ; 1.767 ; -; 2.338 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.379 ; 1.768 ; -; 2.342 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.502 ; 1.649 ; -; 2.343 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.591 ; 1.561 ; +; 1.524 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.535 ; 1.231 ; +; 1.700 ; spi_slave:spi_slave_rx2_inst|rdata[42] ; cw_speed[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.411 ; +; 1.745 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.546 ; 1.008 ; +; 1.759 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.514 ; 1.487 ; +; 1.759 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.514 ; 1.487 ; +; 1.826 ; spi_slave:spi_slave_rx2_inst|rdata[45] ; cw_speed[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.537 ; +; 1.838 ; spi_slave:spi_slave_rx2_inst|rdata[47] ; iambic_mode[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.535 ; 1.545 ; +; 1.880 ; spi_slave:spi_slave_rx2_inst|rdata[43] ; cw_speed[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.591 ; +; 1.880 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.591 ; +; 1.885 ; spi_slave:spi_slave_rx2_inst|rdata[46] ; iambic_mode[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.535 ; 1.592 ; +; 1.923 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.474 ; 1.258 ; +; 1.930 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.474 ; 1.265 ; +; 1.964 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.515 ; 1.258 ; +; 1.969 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.515 ; 1.263 ; +; 1.981 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.515 ; 1.275 ; +; 1.996 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.515 ; 1.290 ; +; 2.019 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.483 ; 1.345 ; +; 2.036 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.515 ; 1.330 ; +; 2.042 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.474 ; 1.377 ; +; 2.058 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.515 ; 1.352 ; +; 2.074 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.515 ; 1.368 ; +; 2.085 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.531 ; 1.796 ; +; 2.087 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.641 ; 1.255 ; +; 2.088 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.551 ; 1.346 ; +; 2.102 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.474 ; 1.437 ; +; 2.110 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.641 ; 1.278 ; +; 2.111 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.290 ; +; 2.119 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.627 ; 1.301 ; +; 2.160 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.714 ; 1.255 ; +; 2.279 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.478 ; 1.610 ; +; 2.284 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.464 ; 1.629 ; +; 2.285 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.532 ; 1.562 ; +; 2.294 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.474 ; 1.629 ; +; 2.322 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.535 ; 1.596 ; +; 2.336 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.529 ; 1.616 ; +; 2.340 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.189 ; 1.960 ; +; 2.345 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.529 ; 1.625 ; +; 2.351 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.142 ; 2.018 ; +; 2.351 ; spi_slave:spi_slave_rx2_inst|rdata[37] ; keyer_weight[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.542 ; +; 2.355 ; spi_slave:spi_slave_rx2_inst|rdata[35] ; keyer_weight[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.546 ; +; 2.390 ; spi_slave:spi_slave_rx2_inst|rdata[36] ; keyer_weight[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.581 ; +; 2.393 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.572 ; +; 2.400 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.460 ; 1.749 ; +; 2.405 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.584 ; +; 2.406 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.585 ; +; 2.415 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.189 ; 2.035 ; +; 2.415 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.578 ; +; 2.419 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.598 ; +; 2.420 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.583 ; +; 2.424 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.615 ; +; 2.426 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.529 ; 1.706 ; +; 2.428 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.529 ; 1.708 ; +; 2.428 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.145 ; 2.092 ; +; 2.432 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.529 ; 1.712 ; +; 2.433 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.624 ; +; 2.436 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.136 ; 2.109 ; +; 2.436 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.136 ; 2.109 ; +; 2.437 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.136 ; 2.110 ; +; 2.437 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.600 ; +; 2.445 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.641 ; 1.613 ; +; 2.445 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.624 ; +; 2.456 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.635 ; +; 2.456 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.619 ; +; 2.457 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.620 ; +; 2.457 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.189 ; 2.077 ; +; 2.457 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.636 ; +; 2.470 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.191 ; 2.088 ; +; 2.472 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.627 ; 1.654 ; +; 2.472 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.635 ; +; 2.483 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.700 ; 1.592 ; +; 2.486 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.136 ; 2.159 ; +; 2.487 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.650 ; +; 2.487 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.666 ; +; 2.489 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.189 ; 2.109 ; +; 2.498 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.661 ; +; 2.507 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.189 ; 2.127 ; +; 2.509 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.646 ; 1.672 ; +; 2.514 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.715 ; 1.608 ; +; 2.515 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.208 ; 2.116 ; +; 2.528 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.189 ; 2.148 ; +; 2.528 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.136 ; 2.201 ; +; 2.531 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.463 ; 1.877 ; +; 2.536 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.463 ; 1.882 ; +; 2.537 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.189 ; 2.157 ; +; 2.540 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.303 ; 2.046 ; +; 2.545 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.703 ; 1.651 ; +; 2.545 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.719 ; 1.635 ; +; 2.563 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.305 ; 2.067 ; +; 2.564 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.460 ; 1.913 ; +; 2.569 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.479 ; 1.899 ; +; 2.570 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.467 ; 1.912 ; +; 2.571 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.191 ; 2.189 ; +; 2.592 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.305 ; 2.096 ; +; 2.595 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.529 ; 1.875 ; +; 2.608 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.308 ; 2.109 ; +; 2.610 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.305 ; 2.114 ; +; 2.614 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.301 ; 2.122 ; +; 2.617 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.206 ; 2.220 ; +; 2.621 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.134 ; 2.296 ; +; 2.621 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.460 ; 1.970 ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ +; 1.759 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.650 ; 6.670 ; +; 1.874 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.677 ; 6.812 ; +; 2.033 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.677 ; 6.971 ; +; 2.131 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.686 ; 7.078 ; +; 2.246 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.677 ; 7.184 ; +; 2.267 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.687 ; 7.215 ; +; 2.306 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.676 ; 7.243 ; +; 2.309 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.676 ; 7.246 ; +; 2.343 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.685 ; 7.289 ; +; 1.979 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.663 ; 6.903 ; +; 2.100 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.689 ; 7.050 ; +; 2.372 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.676 ; 7.309 ; +; 2.083 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.663 ; 7.007 ; +; 6.813 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.677 ; 6.771 ; +; 6.822 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.650 ; 6.753 ; +; 6.829 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.686 ; 6.796 ; +; 6.892 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.677 ; 6.850 ; +; 6.928 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.689 ; 6.898 ; +; 6.931 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.676 ; 6.888 ; +; 6.833 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.663 ; 6.777 ; +; 6.953 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.685 ; 6.919 ; +; 7.043 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.676 ; 7.000 ; +; 7.048 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.677 ; 7.006 ; +; 6.945 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.663 ; 6.889 ; +; 6.919 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.687 ; 6.887 ; +; 7.055 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.676 ; 7.012 ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 85C Model Hold: 'virt_ad9866_rxclk' ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -; 14.885 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.165 ; 4.730 ; -; 14.891 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.165 ; 4.736 ; -; 15.077 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.165 ; 4.922 ; -; 15.239 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.165 ; 5.084 ; -; 15.605 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.165 ; 5.450 ; -; 15.621 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.320 ; 5.311 ; -; 15.636 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.320 ; 5.326 ; -; 15.898 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.715 ; 5.193 ; -; 17.585 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.194 ; 7.401 ; -; 17.965 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.320 ; 7.655 ; -; 18.101 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.194 ; 7.917 ; -; 18.276 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.165 ; 8.121 ; +; 15.143 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.135 ; 5.018 ; +; 15.222 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.072 ; 5.160 ; +; 15.344 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.486 ; 4.868 ; +; 15.351 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.072 ; 5.289 ; +; 15.386 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.072 ; 5.324 ; +; 15.486 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.072 ; 5.424 ; +; 16.740 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.779 ; 5.971 ; +; 16.902 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.488 ; 6.424 ; +; 17.722 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.072 ; 7.660 ; +; 17.806 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.876 ; 7.940 ; +; 17.873 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.072 ; 7.811 ; +; 18.944 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.488 ; 8.466 ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ @@ -2352,7 +2352,7 @@ Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Number of Synchronizer Chains Found: 64 Shortest Synchronizer Chain: 2 Registers Fraction of Chains for which MTBFs Could Not be Calculated: 0.500 -Worst Case Available Settling Time: 13.637 ns +Worst Case Available Settling Time: 12.716 ns Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 @@ -2364,14 +2364,14 @@ Typical MTBF values are calculated based on the nominal silicon characteristics, +------------+-----------------+-------------------------------------------------------------+---------------------------------------------------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+-------------------------------------------------------------+---------------------------------------------------+ -; 85.71 MHz ; 85.71 MHz ; ad9866_clk ; ; -; 107.18 MHz ; 107.18 MHz ; spi_sck ; ; -; 112.75 MHz ; 112.75 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; ; -; 130.55 MHz ; 130.55 MHz ; clk_10mhz ; ; -; 142.09 MHz ; 142.09 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; ; -; 165.73 MHz ; 165.73 MHz ; ad9866:ad9866_inst|dut1_pc[0] ; ; -; 219.44 MHz ; 63.75 MHz ; spi_ce0 ; limit due to minimum port rate restriction (tmin) ; -; 296.74 MHz ; 63.75 MHz ; spi_ce1 ; limit due to minimum port rate restriction (tmin) ; +; 81.45 MHz ; 81.45 MHz ; spi_sck ; ; +; 86.52 MHz ; 86.52 MHz ; ad9866_clk ; ; +; 101.47 MHz ; 101.47 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; ; +; 129.62 MHz ; 129.62 MHz ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; ; +; 143.8 MHz ; 143.8 MHz ; clk_10mhz ; ; +; 148.99 MHz ; 148.99 MHz ; ad9866:ad9866_inst|dut1_pc[0] ; ; +; 203.46 MHz ; 63.75 MHz ; spi_ce1 ; limit due to minimum port rate restriction (tmin) ; +; 248.51 MHz ; 63.75 MHz ; spi_ce0 ; limit due to minimum port rate restriction (tmin) ; +------------+-----------------+-------------------------------------------------------------+---------------------------------------------------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -2381,17 +2381,17 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------------------------------------------------------------+-----------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------------------------------------------------------------+-----------+---------------+ -; spi_ce0 ; 0.240 ; 0.000 ; -; spi_sck ; 0.475 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 0.768 ; 0.000 ; -; ad9866_clk ; 1.199 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 1.560 ; 0.000 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 1.983 ; 0.000 ; -; virt_ad9866_rxclk ; 2.561 ; 0.000 ; -; clk_10mhz ; 92.340 ; 0.000 ; -; spi_ce1 ; 2496.630 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2599.732 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33326.295 ; 0.000 ; +; spi_sck ; 0.293 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 0.422 ; 0.000 ; +; spi_ce0 ; 0.437 ; 0.000 ; +; ad9866_clk ; 1.088 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 1.441 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 1.644 ; 0.000 ; +; virt_ad9866_rxclk ; 1.915 ; 0.000 ; +; clk_10mhz ; 93.046 ; 0.000 ; +; spi_ce1 ; 2495.085 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2599.239 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33325.618 ; 0.000 ; +-------------------------------------------------------------+-----------+---------------+ @@ -2400,17 +2400,17 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------------------------------------------------------------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------------------------------------------------------------+--------+---------------+ -; spi_ce0 ; 0.241 ; 0.000 ; -; ad9866_clk ; 0.329 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.403 ; 0.000 ; +; spi_ce1 ; 0.341 ; 0.000 ; +; ad9866_clk ; 0.387 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.403 ; 0.000 ; ; clk_10mhz ; 0.403 ; 0.000 ; -; spi_ce1 ; 0.423 ; 0.000 ; -; spi_sck ; 0.437 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 0.574 ; 0.000 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 1.219 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 1.599 ; 0.000 ; -; virt_ad9866_rxclk ; 14.058 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.404 ; 0.000 ; +; spi_ce0 ; 0.407 ; 0.000 ; +; spi_sck ; 0.410 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 0.703 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 1.541 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 1.754 ; 0.000 ; +; virt_ad9866_rxclk ; 14.280 ; 0.000 ; +-------------------------------------------------------------+--------+---------------+ @@ -2433,233 +2433,125 @@ No paths to report. +-------------------------------------------------------------+-----------+---------------+ ; ad9866_rxclk ; -2.666 ; -2.666 ; ; ad9866_txclk ; -2.666 ; -2.666 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 4.543 ; 0.000 ; -; ad9866_clk ; 5.748 ; 0.000 ; -; spi_sck ; 31.454 ; 0.000 ; -; clk_10mhz ; 49.411 ; 0.000 ; -; spi_ce0 ; 1249.076 ; 0.000 ; -; spi_ce1 ; 1249.187 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 1249.229 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 1249.453 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 4.550 ; 0.000 ; +; ad9866_clk ; 5.706 ; 0.000 ; +; spi_sck ; 31.477 ; 0.000 ; +; clk_10mhz ; 49.459 ; 0.000 ; +; spi_ce0 ; 1249.091 ; 0.000 ; +; spi_ce1 ; 1249.208 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 1249.297 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 1249.412 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2603.435 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 16665.935 ; 0.000 ; +-------------------------------------------------------------+-----------+---------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'spi_ce0' ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.240 ; spi_slave:spi_slave_rx_inst|rdata[15] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.174 ; 1.615 ; -; 0.257 ; spi_slave:spi_slave_rx_inst|rdata[13] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.174 ; 1.598 ; -; 0.293 ; spi_slave:spi_slave_rx_inst|rdata[12] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.174 ; 1.562 ; -; 0.298 ; spi_slave:spi_slave_rx_inst|rdata[14] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; -0.174 ; 1.557 ; -; 0.399 ; spi_slave:spi_slave_rx_inst|rdata[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.074 ; 1.704 ; -; 0.434 ; spi_slave:spi_slave_rx_inst|rdata[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.074 ; 1.669 ; -; 0.473 ; spi_slave:spi_slave_rx_inst|rdata[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.049 ; 1.605 ; -; 0.496 ; spi_slave:spi_slave_rx_inst|rdata[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.049 ; 1.582 ; -; 0.501 ; spi_slave:spi_slave_rx_inst|rdata[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.074 ; 1.602 ; -; 0.504 ; spi_slave:spi_slave_rx_inst|rdata[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.074 ; 1.599 ; -; 0.505 ; spi_slave:spi_slave_rx_inst|rdata[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.049 ; 1.573 ; -; 0.539 ; spi_slave:spi_slave_rx_inst|rdata[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.049 ; 1.539 ; -; 2.044 ; spi_slave:spi_slave_rx_inst|rdata[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.051 ; 2.036 ; -; 2.232 ; spi_slave:spi_slave_rx_inst|rdata[20] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.082 ; 1.879 ; -; 2.345 ; spi_slave:spi_slave_rx_inst|rdata[19] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.112 ; 1.796 ; -; 2.367 ; spi_slave:spi_slave_rx_inst|rdata[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.051 ; 1.713 ; -; 2.397 ; spi_slave:spi_slave_rx_inst|rdata[30] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; -0.037 ; 1.595 ; -; 2.406 ; spi_slave:spi_slave_rx_inst|rdata[31] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; -0.037 ; 1.586 ; -; 2.430 ; spi_slave:spi_slave_rx_inst|rdata[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.051 ; 1.650 ; -; 2.467 ; spi_slave:spi_slave_rx_inst|rdata[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.051 ; 1.613 ; -; 2.494 ; spi_slave:spi_slave_rx_inst|rdata[26] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.098 ; 1.633 ; -; 2.506 ; spi_slave:spi_slave_rx_inst|rdata[25] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.041 ; 1.564 ; -; 2.515 ; spi_slave:spi_slave_rx_inst|rdata[22] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.082 ; 1.596 ; -; 2.519 ; spi_slave:spi_slave_rx_inst|rdata[27] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.098 ; 1.608 ; -; 2.526 ; spi_slave:spi_slave_rx_inst|rdata[24] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.041 ; 1.544 ; -; 2.549 ; spi_slave:spi_slave_rx_inst|rdata[17] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.169 ; 1.649 ; -; 2.564 ; spi_slave:spi_slave_rx_inst|rdata[23] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.082 ; 1.547 ; -; 2.583 ; spi_slave:spi_slave_rx_inst|rdata[21] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.082 ; 1.528 ; -; 2.628 ; spi_slave:spi_slave_rx_inst|rdata[18] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.112 ; 1.513 ; -; 2.913 ; spi_slave:spi_slave_rx_inst|rdata[29] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.070 ; 1.186 ; -; 2.941 ; spi_slave:spi_slave_rx_inst|rdata[28] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.127 ; 1.215 ; -; 2.995 ; spi_slave:spi_slave_rx_inst|rdata[16] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.146 ; 1.180 ; -; 2495.443 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.027 ; 4.552 ; -; 2495.491 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.027 ; 4.504 ; -; 2495.605 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.425 ; -; 2495.653 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.377 ; -; 2495.709 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.027 ; 4.286 ; -; 2495.750 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.027 ; 4.245 ; -; 2495.757 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.027 ; 4.238 ; -; 2495.895 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.135 ; -; 2495.910 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.120 ; -; 2495.912 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.118 ; -; 2495.943 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.087 ; -; 2495.958 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.072 ; -; 2496.007 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 4.023 ; -; 2496.016 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.027 ; 3.979 ; -; 2496.055 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 3.975 ; -; 2496.202 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 3.828 ; -; 2496.217 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 3.813 ; -; 2496.314 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.008 ; 3.716 ; -; 2496.433 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.179 ; 3.410 ; -; 2496.434 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.179 ; 3.409 ; -; 2496.455 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.179 ; 3.388 ; -; 2496.456 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.179 ; 3.387 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.662 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.125 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.663 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.124 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[7] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[8] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[6] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -; 2496.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.123 ; 3.157 ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'spi_sck' ; -+-------+----------------------------------------------------------------------------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------------------------------------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.475 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[32] ; spi_ce0 ; spi_sck ; 2.000 ; 3.451 ; 4.968 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[8] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[5] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.533 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_ce1 ; spi_sck ; 2.000 ; 3.322 ; 4.781 ; -; 0.562 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[36] ; spi_ce0 ; spi_sck ; 2.000 ; 3.479 ; 4.909 ; -; 0.579 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[33] ; spi_ce0 ; spi_sck ; 2.000 ; 3.489 ; 4.902 ; -; 0.579 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[34] ; spi_ce0 ; spi_sck ; 2.000 ; 3.489 ; 4.902 ; -; 0.579 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[37] ; spi_ce0 ; spi_sck ; 2.000 ; 3.489 ; 4.902 ; -; 0.579 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_ce0 ; spi_sck ; 2.000 ; 3.489 ; 4.902 ; -; 0.583 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_ce0 ; spi_sck ; 2.000 ; 3.318 ; 4.727 ; -; 0.583 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[40] ; spi_ce0 ; spi_sck ; 2.000 ; 3.318 ; 4.727 ; -; 0.583 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[31] ; spi_ce0 ; spi_sck ; 2.000 ; 3.318 ; 4.727 ; -; 0.583 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[30] ; spi_ce0 ; spi_sck ; 2.000 ; 3.318 ; 4.727 ; -; 0.605 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_ce1 ; spi_sck ; 2.000 ; 3.442 ; 4.829 ; -; 0.605 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_ce1 ; spi_sck ; 2.000 ; 3.442 ; 4.829 ; -; 0.605 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[28] ; spi_ce1 ; spi_sck ; 2.000 ; 3.442 ; 4.829 ; -; 0.605 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[27] ; spi_ce1 ; spi_sck ; 2.000 ; 3.442 ; 4.829 ; -; 0.605 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[20] ; spi_ce1 ; spi_sck ; 2.000 ; 3.442 ; 4.829 ; -; 0.605 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_ce1 ; spi_sck ; 2.000 ; 3.442 ; 4.829 ; -; 0.605 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_ce1 ; spi_sck ; 2.000 ; 3.442 ; 4.829 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[3] ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[5] ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[2] ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[0] ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[6] ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[1] ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[4] ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.611 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|done ; spi_ce0 ; spi_sck ; 2.000 ; 3.445 ; 4.826 ; -; 0.612 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_ce0 ; spi_sck ; 4.000 ; -0.363 ; 3.017 ; -; 0.641 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_ce0 ; spi_sck ; 2.000 ; 3.160 ; 4.511 ; -; 0.641 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_ce0 ; spi_sck ; 2.000 ; 3.160 ; 4.511 ; -; 0.641 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_ce0 ; spi_sck ; 2.000 ; 3.160 ; 4.511 ; -; 0.641 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[17] ; spi_ce0 ; spi_sck ; 2.000 ; 3.160 ; 4.511 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[10] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.679 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_ce1 ; spi_sck ; 2.000 ; 3.503 ; 4.816 ; -; 0.682 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_ce1 ; spi_sck ; 2.000 ; 3.457 ; 4.767 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[14] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[0] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.684 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; spi_ce1 ; spi_sck ; 2.000 ; 3.444 ; 4.752 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[17] ; spi_ce1 ; spi_sck ; 2.000 ; 3.505 ; 4.792 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[16] ; spi_ce1 ; spi_sck ; 2.000 ; 3.505 ; 4.792 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_ce1 ; spi_sck ; 2.000 ; 3.505 ; 4.792 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[19] ; spi_ce1 ; spi_sck ; 2.000 ; 3.505 ; 4.792 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[18] ; spi_ce1 ; spi_sck ; 2.000 ; 3.505 ; 4.792 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_ce1 ; spi_sck ; 2.000 ; 3.505 ; 4.792 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_ce1 ; spi_sck ; 2.000 ; 3.505 ; 4.792 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[29] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[30] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[28] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[27] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[26] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[18] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[19] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[17] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[16] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[20] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[21] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.737 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.164 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[16] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[30] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[29] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[28] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[27] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[26] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[18] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[17] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[19] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[20] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[21] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.745 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_ce1 ; spi_sck ; 3.000 ; 2.909 ; 5.156 ; -; 0.793 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[15] ; spi_ce0 ; spi_sck ; 2.000 ; 3.182 ; 4.381 ; -; 0.793 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[13] ; spi_ce0 ; spi_sck ; 2.000 ; 3.182 ; 4.381 ; -; 0.793 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[16] ; spi_ce0 ; spi_sck ; 2.000 ; 3.182 ; 4.381 ; -; 0.793 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[12] ; spi_ce0 ; spi_sck ; 2.000 ; 3.182 ; 4.381 ; -; 0.793 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_ce0 ; spi_sck ; 2.000 ; 3.182 ; 4.381 ; -+-------+----------------------------------------------------------------------------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ ++----------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Setup: 'spi_sck' ; ++-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.293 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_ce1 ; spi_sck ; 2.000 ; 3.462 ; 5.161 ; +; 0.293 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_ce1 ; spi_sck ; 2.000 ; 3.462 ; 5.161 ; +; 0.293 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_ce1 ; spi_sck ; 2.000 ; 3.462 ; 5.161 ; +; 0.293 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_ce1 ; spi_sck ; 2.000 ; 3.462 ; 5.161 ; +; 0.293 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_ce1 ; spi_sck ; 2.000 ; 3.462 ; 5.161 ; +; 0.293 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_ce1 ; spi_sck ; 2.000 ; 3.462 ; 5.161 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[27] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.370 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_ce1 ; spi_sck ; 2.000 ; 3.487 ; 5.109 ; +; 0.403 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_ce1 ; spi_sck ; 2.000 ; 3.405 ; 4.994 ; +; 0.403 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[28] ; spi_ce1 ; spi_sck ; 2.000 ; 3.405 ; 4.994 ; +; 0.431 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_ce1 ; spi_sck ; 2.000 ; 3.559 ; 5.120 ; +; 0.431 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_ce1 ; spi_sck ; 2.000 ; 3.559 ; 5.120 ; +; 0.431 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_ce1 ; spi_sck ; 2.000 ; 3.559 ; 5.120 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[39] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[41] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[47] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[42] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.563 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_ce1 ; spi_sck ; 2.000 ; 3.525 ; 4.954 ; +; 0.606 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_ce0 ; spi_sck ; 2.000 ; 3.153 ; 4.539 ; +; 0.606 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_ce0 ; spi_sck ; 2.000 ; 3.153 ; 4.539 ; +; 0.606 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_ce0 ; spi_sck ; 2.000 ; 3.153 ; 4.539 ; +; 0.606 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_ce0 ; spi_sck ; 2.000 ; 3.153 ; 4.539 ; +; 0.606 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[0] ; spi_ce0 ; spi_sck ; 2.000 ; 3.153 ; 4.539 ; +; 0.606 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_ce0 ; spi_sck ; 2.000 ; 3.153 ; 4.539 ; +; 0.606 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[31] ; spi_ce0 ; spi_sck ; 2.000 ; 3.153 ; 4.539 ; +; 0.613 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[0] ; spi_ce1 ; spi_sck ; 2.000 ; 3.345 ; 4.724 ; +; 0.613 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[18] ; spi_ce1 ; spi_sck ; 2.000 ; 3.345 ; 4.724 ; +; 0.615 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[17] ; spi_ce1 ; spi_sck ; 2.000 ; 3.335 ; 4.712 ; +; 0.615 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; spi_ce1 ; spi_sck ; 2.000 ; 3.335 ; 4.712 ; +; 0.615 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[8] ; spi_ce1 ; spi_sck ; 2.000 ; 3.335 ; 4.712 ; +; 0.615 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_ce1 ; spi_sck ; 2.000 ; 3.335 ; 4.712 ; +; 0.615 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[5] ; spi_ce1 ; spi_sck ; 2.000 ; 3.335 ; 4.712 ; +; 0.615 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[19] ; spi_ce1 ; spi_sck ; 2.000 ; 3.335 ; 4.712 ; +; 0.615 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[20] ; spi_ce1 ; spi_sck ; 2.000 ; 3.335 ; 4.712 ; +; 0.646 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[5] ; spi_ce0 ; spi_sck ; 2.000 ; 3.172 ; 4.518 ; +; 0.646 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[12] ; spi_ce0 ; spi_sck ; 2.000 ; 3.172 ; 4.518 ; +; 0.646 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[10] ; spi_ce0 ; spi_sck ; 2.000 ; 3.172 ; 4.518 ; +; 0.646 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[9] ; spi_ce0 ; spi_sck ; 2.000 ; 3.172 ; 4.518 ; +; 0.646 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[8] ; spi_ce0 ; spi_sck ; 2.000 ; 3.172 ; 4.518 ; +; 0.646 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[7] ; spi_ce0 ; spi_sck ; 2.000 ; 3.172 ; 4.518 ; +; 0.646 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[6] ; spi_ce0 ; spi_sck ; 2.000 ; 3.172 ; 4.518 ; +; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_ce1 ; spi_sck ; 2.000 ; 3.386 ; 4.712 ; +; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[16] ; spi_ce1 ; spi_sck ; 2.000 ; 3.386 ; 4.712 ; +; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[14] ; spi_ce1 ; spi_sck ; 2.000 ; 3.386 ; 4.712 ; +; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_ce1 ; spi_sck ; 2.000 ; 3.386 ; 4.712 ; +; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[10] ; spi_ce1 ; spi_sck ; 2.000 ; 3.386 ; 4.712 ; +; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_ce1 ; spi_sck ; 2.000 ; 3.386 ; 4.712 ; +; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_ce1 ; spi_sck ; 2.000 ; 3.386 ; 4.712 ; +; 0.667 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[3] ; spi_ce1 ; spi_sck ; 2.000 ; 3.075 ; 4.400 ; +; 0.667 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[4] ; spi_ce1 ; spi_sck ; 2.000 ; 3.075 ; 4.400 ; +; 0.667 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[5] ; spi_ce1 ; spi_sck ; 2.000 ; 3.075 ; 4.400 ; +; 0.667 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[6] ; spi_ce1 ; spi_sck ; 2.000 ; 3.075 ; 4.400 ; +; 0.667 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[2] ; spi_ce1 ; spi_sck ; 2.000 ; 3.075 ; 4.400 ; +; 0.667 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[1] ; spi_ce1 ; spi_sck ; 2.000 ; 3.075 ; 4.400 ; +; 0.667 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[0] ; spi_ce1 ; spi_sck ; 2.000 ; 3.075 ; 4.400 ; +; 0.692 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[33] ; spi_ce0 ; spi_sck ; 2.000 ; 3.587 ; 4.887 ; +; 0.692 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_ce0 ; spi_sck ; 2.000 ; 3.587 ; 4.887 ; +; 0.692 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[32] ; spi_ce0 ; spi_sck ; 2.000 ; 3.587 ; 4.887 ; +; 0.692 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[34] ; spi_ce0 ; spi_sck ; 2.000 ; 3.587 ; 4.887 ; +; 0.692 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[37] ; spi_ce0 ; spi_sck ; 2.000 ; 3.587 ; 4.887 ; +; 0.692 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[36] ; spi_ce0 ; spi_sck ; 2.000 ; 3.587 ; 4.887 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[21] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[30] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[13] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[40] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[23] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[16] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[18] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[17] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[20] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[19] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[14] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[29] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[15] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[22] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.693 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[11] ; spi_ce0 ; spi_sck ; 2.000 ; 3.165 ; 4.464 ; +; 0.701 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_ce0 ; spi_sck ; 2.000 ; 3.457 ; 4.748 ; +; 0.701 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_ce0 ; spi_sck ; 2.000 ; 3.457 ; 4.748 ; +; 0.701 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_ce0 ; spi_sck ; 2.000 ; 3.457 ; 4.748 ; +; 0.701 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_ce0 ; spi_sck ; 2.000 ; 3.457 ; 4.748 ; +; 0.701 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_ce0 ; spi_sck ; 2.000 ; 3.457 ; 4.748 ; +; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_ce1 ; spi_sck ; 3.000 ; 2.917 ; 5.204 ; +; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[39] ; spi_ce1 ; spi_sck ; 3.000 ; 2.917 ; 5.204 ; +; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_ce1 ; spi_sck ; 3.000 ; 2.917 ; 5.204 ; +; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_ce1 ; spi_sck ; 3.000 ; 2.917 ; 5.204 ; +; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[37] ; spi_ce1 ; spi_sck ; 3.000 ; 2.917 ; 5.204 ; ++-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2667,214 +2559,322 @@ No paths to report. +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ -; 0.768 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 2.053 ; -; 0.772 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.932 ; 2.055 ; -; 0.783 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 2.043 ; -; 0.834 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.807 ; 2.118 ; -; 0.849 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.977 ; -; 0.854 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.982 ; -; 0.873 ; spi_slave:spi_slave_rx2_inst|rdata[46] ; iambic_mode[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.880 ; 2.239 ; -; 0.902 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.957 ; 1.900 ; -; 0.907 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.806 ; 2.046 ; -; 0.911 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.806 ; 2.042 ; -; 0.913 ; spi_slave:spi_slave_rx2_inst|rdata[47] ; iambic_mode[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.880 ; 2.199 ; -; 0.919 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.796 ; 2.044 ; -; 0.920 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.796 ; 2.043 ; -; 0.973 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.000 ; 1.786 ; -; 1.039 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.002 ; 1.718 ; -; 1.040 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.002 ; 1.717 ; -; 1.041 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.000 ; 1.718 ; -; 1.044 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.782 ; -; 1.046 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.000 ; 1.713 ; -; 1.054 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.782 ; -; 1.061 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.000 ; 1.698 ; -; 1.068 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.004 ; 1.687 ; -; 1.081 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.745 ; -; 1.086 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.750 ; -; 1.092 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.987 ; 1.680 ; -; 1.098 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.738 ; -; 1.105 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.002 ; 1.652 ; -; 1.109 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.934 ; 1.716 ; -; 1.111 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.934 ; 1.714 ; -; 1.113 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.708 ; -; 1.114 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.941 ; 1.704 ; -; 1.117 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.985 ; 1.657 ; -; 1.118 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.703 ; -; 1.121 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.923 ; 1.715 ; -; 1.128 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.693 ; -; 1.131 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.932 ; 1.696 ; -; 1.140 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.933 ; 1.686 ; -; 1.142 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.997 ; 1.620 ; -; 1.143 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.927 ; 1.689 ; -; 1.143 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.998 ; 1.618 ; -; 1.148 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.004 ; 1.607 ; -; 1.149 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.002 ; 1.608 ; -; 1.150 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.995 ; 1.614 ; -; 1.150 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.985 ; 1.624 ; -; 1.151 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.941 ; 1.667 ; -; 1.152 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.948 ; 1.659 ; -; 1.154 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.940 ; 1.665 ; -; 1.159 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.991 ; 1.609 ; -; 1.163 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.658 ; -; 1.164 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.657 ; -; 1.172 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.806 ; 1.781 ; -; 1.174 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.806 ; 1.779 ; -; 1.174 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.932 ; 1.653 ; -; 1.178 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.932 ; 1.649 ; -; 1.180 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.941 ; 1.638 ; -; 1.182 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.796 ; 1.781 ; -; 1.194 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.941 ; 1.624 ; -; 1.194 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.940 ; 1.625 ; -; 1.197 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.796 ; 1.766 ; -; 1.211 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.610 ; -; 1.212 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.807 ; 1.740 ; -; 1.213 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.806 ; 1.740 ; -; 1.213 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.816 ; 1.730 ; -; 1.218 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.886 ; 1.888 ; -; 1.218 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.796 ; 1.745 ; -; 1.223 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.796 ; 1.740 ; -; 1.226 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.925 ; 1.608 ; -; 1.231 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.806 ; 1.722 ; -; 1.243 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.806 ; 1.710 ; -; 1.252 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.796 ; 1.711 ; -; 1.265 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.800 ; 1.694 ; -; 1.282 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.760 ; 1.950 ; -; 1.302 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.813 ; 1.644 ; -; 1.315 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.886 ; 1.791 ; -; 1.327 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.813 ; 1.619 ; -; 1.331 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.813 ; 1.615 ; -; 1.333 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.813 ; 1.613 ; -; 1.335 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.890 ; 1.767 ; -; 1.346 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.754 ; 1.892 ; -; 1.346 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.813 ; 1.600 ; -; 1.350 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.004 ; 1.405 ; -; 1.365 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.002 ; 1.392 ; -; 1.369 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.007 ; 1.383 ; -; 1.378 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.004 ; 1.377 ; -; 1.380 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.007 ; 1.372 ; -; 1.387 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.995 ; 1.377 ; -; 1.392 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.995 ; 1.372 ; -; 1.397 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.985 ; 1.377 ; -; 1.398 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.985 ; 1.376 ; -; 1.407 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.991 ; 1.361 ; -; 1.414 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.883 ; 1.695 ; -; 1.414 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.002 ; 1.343 ; -; 1.416 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.941 ; 1.402 ; -; 1.427 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.997 ; 1.335 ; -; 1.432 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.998 ; 1.329 ; -; 1.439 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.382 ; -; 1.440 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.995 ; 1.324 ; -; 1.440 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.938 ; 1.381 ; -; 1.454 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.941 ; 1.364 ; -; 1.455 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.927 ; 1.377 ; +; 0.422 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.603 ; 2.734 ; +; 0.430 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.600 ; 2.729 ; +; 0.432 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.833 ; 2.494 ; +; 0.453 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.548 ; +; 0.496 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.596 ; 2.667 ; +; 0.526 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.609 ; 2.624 ; +; 0.529 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.836 ; 2.394 ; +; 0.532 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.469 ; +; 0.539 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.603 ; 2.617 ; +; 0.540 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.458 ; +; 0.541 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.606 ; 2.612 ; +; 0.546 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.455 ; +; 0.561 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.910 ; 2.521 ; +; 0.564 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.434 ; +; 0.566 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.832 ; 2.361 ; +; 0.573 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.425 ; +; 0.574 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.596 ; 2.589 ; +; 0.575 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.426 ; +; 0.576 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.600 ; 2.583 ; +; 0.576 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.596 ; 2.587 ; +; 0.596 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.405 ; +; 0.599 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.402 ; +; 0.612 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.386 ; +; 0.614 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.384 ; +; 0.627 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.371 ; +; 0.646 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.462 ; +; 0.660 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.676 ; 2.423 ; +; 0.680 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.673 ; 2.406 ; +; 0.706 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.402 ; +; 0.734 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.038 ; 1.987 ; +; 0.738 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.596 ; 2.425 ; +; 0.746 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.362 ; +; 0.751 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.357 ; +; 0.779 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.669 ; 2.311 ; +; 0.786 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.945 ; 2.028 ; +; 0.786 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.322 ; +; 0.789 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.596 ; 2.374 ; +; 0.800 ; spi_slave:spi_slave_rx2_inst|rdata[38] ; keyer_weight[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.022 ; 1.937 ; +; 0.812 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.757 ; 2.190 ; +; 0.814 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.829 ; 2.116 ; +; 0.820 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.754 ; 2.185 ; +; 0.823 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.175 ; +; 0.826 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.754 ; 2.179 ; +; 0.827 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.757 ; 2.175 ; +; 0.827 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.599 ; 2.333 ; +; 0.830 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.880 ; 2.049 ; +; 0.832 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.279 ; +; 0.833 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.880 ; 2.046 ; +; 0.845 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.153 ; +; 0.855 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.880 ; 2.024 ; +; 0.856 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.255 ; +; 0.862 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.672 ; 2.225 ; +; 0.878 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.754 ; 2.127 ; +; 0.883 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.761 ; 2.115 ; +; 0.885 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.226 ; +; 0.889 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.112 ; +; 0.897 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.211 ; +; 0.901 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.880 ; 1.978 ; +; 0.908 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.599 ; 2.252 ; +; 0.910 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.201 ; +; 0.911 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.090 ; +; 0.920 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.599 ; 2.240 ; +; 0.922 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.599 ; 2.238 ; +; 0.923 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.651 ; 2.185 ; +; 0.936 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.758 ; 2.065 ; +; 0.936 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.897 ; 1.926 ; +; 0.943 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.599 ; 2.217 ; +; 0.949 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.757 ; 2.053 ; +; 0.957 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.117 ; 1.685 ; +; 0.961 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.150 ; +; 0.966 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.130 ; 1.663 ; +; 0.967 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.945 ; 1.847 ; +; 0.973 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.123 ; 1.663 ; +; 0.979 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.894 ; 1.886 ; +; 0.986 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.897 ; 1.876 ; +; 0.986 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.055 ; 1.718 ; +; 0.997 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.042 ; 1.720 ; +; 1.007 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.055 ; 1.697 ; +; 1.011 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.055 ; 1.693 ; +; 1.013 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.038 ; 1.708 ; +; 1.015 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.884 ; 1.860 ; +; 1.018 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.055 ; 1.686 ; +; 1.023 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.113 ; 1.623 ; +; 1.028 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.884 ; 1.847 ; +; 1.035 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.055 ; 1.669 ; +; 1.037 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.055 ; 1.667 ; +; 1.039 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.042 ; 1.678 ; +; 1.041 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.042 ; 1.676 ; +; 1.049 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.055 ; 1.655 ; +; 1.050 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.022 ; 1.687 ; +; 1.053 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.042 ; 1.664 ; +; 1.054 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.648 ; 2.057 ; +; 1.059 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.945 ; 1.755 ; +; 1.060 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.613 ; 2.086 ; +; 1.061 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.051 ; 1.647 ; +; 1.061 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.945 ; 1.753 ; +; 1.074 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.022 ; 1.663 ; +; 1.076 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.042 ; 1.641 ; +; 1.077 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.042 ; 1.640 ; +; 1.079 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -1.042 ; 1.638 ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Setup: 'spi_ce0' ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.437 ; spi_slave:spi_slave_rx_inst|rdata[15] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.155 ; 1.747 ; +; 0.611 ; spi_slave:spi_slave_rx_inst|rdata[13] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.155 ; 1.573 ; +; 0.624 ; spi_slave:spi_slave_rx_inst|rdata[14] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.155 ; 1.560 ; +; 0.624 ; spi_slave:spi_slave_rx_inst|rdata[12] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.147 ; 1.552 ; +; 0.920 ; spi_slave:spi_slave_rx_inst|rdata[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.161 ; 1.270 ; +; 0.928 ; spi_slave:spi_slave_rx_inst|rdata[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.124 ; 1.225 ; +; 0.939 ; spi_slave:spi_slave_rx_inst|rdata[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.161 ; 1.251 ; +; 0.940 ; spi_slave:spi_slave_rx_inst|rdata[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.124 ; 1.213 ; +; 0.958 ; spi_slave:spi_slave_rx_inst|rdata[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.124 ; 1.195 ; +; 0.963 ; spi_slave:spi_slave_rx_inst|rdata[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.161 ; 1.227 ; +; 0.988 ; spi_slave:spi_slave_rx_inst|rdata[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.132 ; 1.173 ; +; 0.990 ; spi_slave:spi_slave_rx_inst|rdata[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.161 ; 1.200 ; +; 1.180 ; spi_slave:spi_slave_rx_inst|rdata[28] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; -0.042 ; 2.807 ; +; 2.062 ; spi_slave:spi_slave_rx_inst|rdata[20] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.169 ; 2.136 ; +; 2.213 ; spi_slave:spi_slave_rx_inst|rdata[25] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; -0.065 ; 1.751 ; +; 2.242 ; spi_slave:spi_slave_rx_inst|rdata[24] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; -0.065 ; 1.722 ; +; 2.338 ; spi_slave:spi_slave_rx_inst|rdata[16] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.235 ; 1.926 ; +; 2.349 ; spi_slave:spi_slave_rx_inst|rdata[29] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.262 ; 1.942 ; +; 2.350 ; spi_slave:spi_slave_rx_inst|rdata[30] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.262 ; 1.941 ; +; 2.351 ; spi_slave:spi_slave_rx_inst|rdata[27] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; -0.065 ; 1.613 ; +; 2.364 ; spi_slave:spi_slave_rx_inst|rdata[26] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; -0.065 ; 1.600 ; +; 2.417 ; spi_slave:spi_slave_rx_inst|rdata[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.129 ; 1.741 ; +; 2.454 ; spi_slave:spi_slave_rx_inst|rdata[31] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.275 ; 1.850 ; +; 2.472 ; spi_slave:spi_slave_rx_inst|rdata[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.150 ; 1.707 ; +; 2.510 ; spi_slave:spi_slave_rx_inst|rdata[23] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.169 ; 1.688 ; +; 2.527 ; spi_slave:spi_slave_rx_inst|rdata[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.129 ; 1.631 ; +; 2.549 ; spi_slave:spi_slave_rx_inst|rdata[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.129 ; 1.609 ; +; 2.551 ; spi_slave:spi_slave_rx_inst|rdata[19] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.235 ; 1.713 ; +; 2.560 ; spi_slave:spi_slave_rx_inst|rdata[21] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.169 ; 1.638 ; +; 2.597 ; spi_slave:spi_slave_rx_inst|rdata[22] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.169 ; 1.601 ; +; 2.670 ; spi_slave:spi_slave_rx_inst|rdata[18] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.235 ; 1.594 ; +; 2.674 ; spi_slave:spi_slave_rx_inst|rdata[17] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.235 ; 1.590 ; +; 2495.976 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.082 ; 4.128 ; +; 2496.024 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.117 ; 4.115 ; +; 2496.259 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.082 ; 3.845 ; +; 2496.265 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.204 ; 3.553 ; +; 2496.283 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.204 ; 3.535 ; +; 2496.307 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.117 ; 3.832 ; +; 2496.310 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.204 ; 3.508 ; +; 2496.318 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.204 ; 3.500 ; +; 2496.328 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.204 ; 3.490 ; +; 2496.363 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.204 ; 3.455 ; +; 2496.379 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.402 ; +; 2496.397 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.384 ; +; 2496.432 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.349 ; +; 2496.472 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.082 ; 3.632 ; +; 2496.639 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.142 ; +; 2496.642 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.082 ; 3.462 ; +; 2496.649 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.132 ; +; 2496.657 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.124 ; +; 2496.667 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.114 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.669 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.118 ; 3.157 ; +; 2496.673 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.241 ; 3.108 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[7] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[8] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[6] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[3] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; +; 2496.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.113 ; 3.157 ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Setup: 'ad9866_clk' ; +-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ -; 1.199 ; ad9866_clk ; ad9866_txclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 4.811 ; -; 1.199 ; ad9866_clk ; ad9866_rxclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 4.811 ; -; 1.353 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.026 ; 7.141 ; -; 1.466 ; ad9866_adio[6] ; adcpipe[1][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.784 ; 4.340 ; -; 1.468 ; ad9866_adio[7] ; adcpipe[0][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.079 ; 4.633 ; -; 1.474 ; ad9866_adio[8] ; adcpipe[1][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.784 ; 4.332 ; -; 1.477 ; ad9866_adio[4] ; adcpipe[1][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.040 ; 4.585 ; -; 1.483 ; ad9866_adio[10] ; adcpipe[1][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.784 ; 4.323 ; -; 1.495 ; ad9866_adio[10] ; adcpipe[0][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.079 ; 4.606 ; -; 1.500 ; ad9866_adio[1] ; adcpipe[1][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.869 ; 4.391 ; -; 1.512 ; ad9866_adio[0] ; adcpipe[0][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.775 ; 4.285 ; -; 1.514 ; ad9866_adio[8] ; adcpipe[0][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.079 ; 4.587 ; -; 1.570 ; ad9866_adio[0] ; adcpipe[1][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.821 ; 4.273 ; -; 1.578 ; ad9866_adio[4] ; adcpipe[0][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.087 ; 4.531 ; -; 1.594 ; ad9866_adio[6] ; adcpipe[0][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.079 ; 4.507 ; -; 1.635 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.026 ; 6.859 ; -; 1.643 ; ad9866_adio[7] ; adcpipe[1][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.869 ; 4.248 ; -; 1.653 ; ad9866_adio[3] ; adcpipe[1][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.957 ; 4.326 ; -; 1.654 ; ad9866_adio[2] ; adcpipe[1][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.163 ; 4.531 ; -; 1.668 ; ad9866_adio[2] ; adcpipe[0][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.069 ; 4.423 ; -; 1.702 ; ad9866_adio[11] ; adcpipe[1][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.072 ; 4.392 ; -; 1.703 ; ad9866_adio[11] ; adcpipe[0][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.072 ; 4.391 ; -; 1.714 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.882 ; 6.924 ; -; 1.715 ; ad9866_adio[5] ; adcpipe[1][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.893 ; 4.200 ; -; 1.715 ; ad9866_adio[5] ; adcpipe[0][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.893 ; 4.200 ; -; 1.723 ; ad9866_adio[9] ; adcpipe[1][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.957 ; 4.256 ; -; 1.723 ; ad9866_adio[9] ; adcpipe[0][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.957 ; 4.256 ; -; 1.800 ; ad9866_adio[1] ; adcpipe[0][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.676 ; 3.898 ; -; 1.880 ; ad9866_adio[3] ; adcpipe[0][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.959 ; 4.101 ; -; 1.956 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.842 ; 6.722 ; -; 2.046 ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_miso ; spi_sck ; ad9866_clk ; 11.000 ; -3.282 ; 5.142 ; -; 2.052 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.882 ; 6.586 ; -; 2.082 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.816 ; 6.622 ; -; 2.104 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.914 ; 6.502 ; -; 2.116 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.842 ; 6.562 ; -; 2.139 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.105 ; 6.276 ; -; 2.183 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.830 ; 6.507 ; -; 2.241 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.842 ; 6.437 ; -; 2.333 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.903 ; 6.284 ; -; 2.353 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.158 ; 6.009 ; -; 2.359 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.823 ; 6.338 ; -; 2.381 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.805 ; 6.334 ; -; 2.383 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.805 ; 6.332 ; -; 2.444 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.467 ; 6.609 ; -; 2.461 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.467 ; 6.592 ; -; 2.471 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.842 ; 6.207 ; -; 2.472 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.914 ; 6.134 ; -; 2.496 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.424 ; 6.600 ; -; 2.524 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.830 ; 6.166 ; -; 2.530 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -4.105 ; 5.885 ; -; 2.570 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.424 ; 6.526 ; -; 2.572 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.466 ; 6.482 ; -; 2.573 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.466 ; 6.481 ; -; 2.617 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.739 ; 6.164 ; -; 2.639 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.739 ; 6.142 ; -; 2.670 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.903 ; 5.947 ; -; 2.681 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.466 ; 6.373 ; -; 2.681 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.466 ; 6.373 ; -; 2.701 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.805 ; 6.014 ; -; 2.710 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.805 ; 6.005 ; -; 2.727 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.739 ; 6.054 ; -; 2.745 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.762 ; 6.013 ; -; 2.748 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.739 ; 6.033 ; -; 2.767 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.762 ; 5.991 ; -; 2.797 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.467 ; 6.256 ; -; 2.862 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.424 ; 6.234 ; -; 2.909 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.467 ; 6.144 ; -; 2.970 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.575 ; 9.955 ; -; 3.064 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.823 ; 5.633 ; -; 3.070 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.762 ; 5.688 ; -; 3.147 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.762 ; 5.611 ; -; 3.218 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.424 ; 5.878 ; -; 3.236 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.547 ; 9.717 ; -; 3.357 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.575 ; 9.568 ; -; 3.370 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[12] ; transmitter:transmitter_inst|out_data[12] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.780 ; 2.382 ; -; 3.490 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[4] ; transmitter:transmitter_inst|out_data[4] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.805 ; 2.237 ; -; 3.571 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[2] ; transmitter:transmitter_inst|out_data[2] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.780 ; 2.181 ; -; 3.599 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.464 ; 9.437 ; -; 3.628 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.547 ; 9.325 ; -; 3.724 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[5] ; transmitter:transmitter_inst|out_data[5] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.805 ; 2.003 ; -; 3.758 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[23] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.678 ; 8.606 ; -; 3.764 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.348 ; 9.626 ; -; 3.773 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.348 ; 9.617 ; -; 3.807 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[10] ; transmitter:transmitter_inst|out_data[10] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.805 ; 1.920 ; -; 3.808 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[11] ; transmitter:transmitter_inst|out_data[11] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.805 ; 1.919 ; -; 3.830 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[8] ; transmitter:transmitter_inst|out_data[8] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.805 ; 1.897 ; -; 3.851 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[3] ; transmitter:transmitter_inst|out_data[3] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.805 ; 1.876 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[23] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[22] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[21] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[20] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[19] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[18] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[17] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[16] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[15] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[14] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[13] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[12] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; -; 3.852 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:C|Iaccum[11] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.621 ; 8.569 ; +; 1.088 ; ad9866_clk ; ad9866_txclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 4.922 ; +; 1.088 ; ad9866_clk ; ad9866_rxclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 4.922 ; +; 1.446 ; ad9866_adio[8] ; adcpipe[0][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.454 ; 5.030 ; +; 1.462 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.794 ; 7.264 ; +; 1.506 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.751 ; 7.263 ; +; 1.509 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.805 ; 7.206 ; +; 1.518 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.867 ; 7.135 ; +; 1.523 ; ad9866_adio[7] ; adcpipe[0][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.502 ; 5.001 ; +; 1.536 ; ad9866_adio[6] ; adcpipe[1][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.932 ; 4.418 ; +; 1.538 ; ad9866_adio[5] ; adcpipe[0][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.734 ; 4.218 ; +; 1.539 ; ad9866_adio[5] ; adcpipe[1][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.734 ; 4.217 ; +; 1.549 ; ad9866_adio[10] ; adcpipe[0][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.454 ; 4.927 ; +; 1.551 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.762 ; 7.207 ; +; 1.562 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.823 ; 7.135 ; +; 1.563 ; ad9866_adio[2] ; adcpipe[0][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.502 ; 4.961 ; +; 1.571 ; ad9866_adio[8] ; adcpipe[1][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.968 ; 4.419 ; +; 1.581 ; ad9866_adio[9] ; adcpipe[0][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.773 ; 4.214 ; +; 1.582 ; ad9866_adio[9] ; adcpipe[1][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.773 ; 4.213 ; +; 1.590 ; ad9866_adio[0] ; adcpipe[0][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.502 ; 4.934 ; +; 1.604 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.867 ; 7.049 ; +; 1.609 ; ad9866_adio[4] ; adcpipe[0][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.118 ; 4.531 ; +; 1.633 ; ad9866_adio[1] ; adcpipe[0][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.212 ; 4.601 ; +; 1.644 ; ad9866_adio[3] ; adcpipe[0][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.502 ; 4.880 ; +; 1.649 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.823 ; 7.048 ; +; 1.656 ; ad9866_adio[7] ; adcpipe[1][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.932 ; 4.298 ; +; 1.657 ; ad9866_adio[2] ; adcpipe[1][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.127 ; 4.492 ; +; 1.664 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.831 ; 7.025 ; +; 1.668 ; ad9866_adio[4] ; adcpipe[1][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.932 ; 4.286 ; +; 1.674 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.787 ; 7.059 ; +; 1.714 ; ad9866_adio[11] ; adcpipe[0][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.058 ; 4.366 ; +; 1.729 ; ad9866_adio[6] ; adcpipe[0][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.454 ; 4.747 ; +; 1.735 ; ad9866_adio[1] ; adcpipe[1][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.932 ; 4.219 ; +; 1.776 ; ad9866_adio[11] ; adcpipe[1][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.968 ; 4.214 ; +; 1.781 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.794 ; 6.945 ; +; 1.790 ; ad9866_adio[10] ; adcpipe[1][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.909 ; 4.141 ; +; 1.823 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.772 ; 6.925 ; +; 1.826 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.805 ; 6.889 ; +; 1.830 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.338 ; 7.352 ; +; 1.835 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.867 ; 6.818 ; +; 1.845 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.751 ; 6.924 ; +; 1.853 ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_miso ; spi_sck ; ad9866_clk ; 11.000 ; -3.072 ; 5.545 ; +; 1.859 ; ad9866_adio[0] ; adcpipe[1][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 3.086 ; 4.249 ; +; 1.867 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.485 ; 7.168 ; +; 1.891 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.762 ; 6.867 ; +; 1.901 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.823 ; 6.796 ; +; 1.925 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.867 ; 6.728 ; +; 1.948 ; ad9866_adio[3] ; adcpipe[1][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 2.968 ; 4.042 ; +; 1.970 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.860 ; 6.690 ; +; 1.972 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.860 ; 6.688 ; +; 1.978 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.717 ; 6.825 ; +; 1.978 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.679 ; 6.863 ; +; 1.989 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.823 ; 6.708 ; +; 2.001 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.831 ; 6.688 ; +; 2.040 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.351 ; 7.129 ; +; 2.049 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.710 ; 6.761 ; +; 2.168 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.745 ; 6.607 ; +; 2.186 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.338 ; 6.996 ; +; 2.192 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.485 ; 6.843 ; +; 2.284 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.485 ; 6.751 ; +; 2.317 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.717 ; 6.486 ; +; 2.333 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.817 ; 6.370 ; +; 2.345 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.817 ; 6.358 ; +; 2.348 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.817 ; 6.355 ; +; 2.355 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.817 ; 6.348 ; +; 2.429 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.351 ; 6.740 ; +; 2.507 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.745 ; 6.268 ; +; 2.516 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.915 ; +; 2.532 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.989 ; +; 2.536 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.985 ; +; 2.539 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.982 ; +; 2.600 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.469 ; 6.451 ; +; 2.640 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.860 ; 6.020 ; +; 2.641 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.880 ; +; 2.673 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.848 ; +; 2.703 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[5] ; transmitter:transmitter_inst|out_data[5] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.546 ; 3.283 ; +; 2.706 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.860 ; 5.954 ; +; 2.734 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.485 ; 6.301 ; +; 2.779 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[40] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.742 ; +; 2.795 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[12] ; transmitter:transmitter_inst|out_data[12] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.720 ; 3.017 ; +; 2.811 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[39] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.710 ; +; 2.900 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[41] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.479 ; 10.621 ; +; 2.911 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[2] ; transmitter:transmitter_inst|out_data[2] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.546 ; 3.075 ; +; 3.013 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[43] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.418 ; +; 3.153 ; transmitter:transmitter_inst|CicInterpM5:in2|y5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.448 ; 10.337 ; +; 3.165 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.265 ; 10.142 ; +; 3.215 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.216 ; +; 3.219 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.212 ; +; 3.222 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.209 ; +; 3.289 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[45] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.142 ; +; 3.291 ; transmitter:transmitter_inst|CicInterpM5:in2|y5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.448 ; 10.199 ; +; 3.304 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -3.469 ; 5.747 ; +; 3.315 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.269 ; 9.996 ; +; 3.324 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.107 ; +; 3.335 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx2_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 17.000 ; -3.223 ; 9.942 ; +; 3.338 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[11] ; transmitter:transmitter_inst|out_data[11] ; ad9866_clk ; ad9866_clk ; 6.510 ; -0.500 ; 2.694 ; +; 3.356 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.389 ; 10.075 ; +; 3.380 ; transmitter:transmitter_inst|CicInterpM5:in2|y5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.448 ; 10.110 ; +; 3.383 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.411 ; 10.070 ; +; 3.387 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.411 ; 10.066 ; +; 3.390 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.411 ; 10.063 ; +-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ @@ -2883,106 +2883,106 @@ No paths to report. +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -; 1.560 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 2.608 ; -; 1.605 ; spi_slave:spi_slave_rx_inst|rdata[34] ; rx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.749 ; 1.638 ; -; 1.607 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.749 ; 1.636 ; -; 1.608 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.982 ; -; 1.698 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.636 ; 2.930 ; -; 1.712 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.748 ; 1.532 ; -; 1.712 ; spi_slave:spi_slave_rx_inst|rdata[34] ; tx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.748 ; 1.532 ; -; 1.724 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.748 ; 1.520 ; -; 1.729 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.625 ; 2.888 ; -; 1.730 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.618 ; 2.880 ; -; 1.764 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.579 ; 2.807 ; -; 1.780 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.417 ; 2.396 ; -; 1.781 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.809 ; -; 1.782 ; spi_slave:spi_slave_rx_inst|rdata[32] ; rx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -1.374 ; 0.836 ; -; 1.802 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.636 ; 2.826 ; -; 1.828 ; spi_slave:spi_slave_rx_inst|rdata[37] ; rx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.378 ; 1.786 ; -; 1.877 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.466 ; 2.348 ; -; 1.884 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.466 ; 2.341 ; -; 1.885 ; spi_slave:spi_slave_rx_inst|rdata[36] ; tx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.738 ; 1.369 ; -; 1.892 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.749 ; 1.351 ; -; 1.895 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.417 ; 2.281 ; -; 1.898 ; spi_slave:spi_slave_rx_inst|rdata[33] ; tx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.748 ; 1.346 ; -; 1.912 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.709 ; 1.371 ; -; 1.957 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.636 ; 2.671 ; -; 1.959 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.479 ; 2.512 ; -; 1.989 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.580 ; 2.583 ; -; 1.999 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.479 ; 2.472 ; -; 2.010 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.302 ; 2.051 ; -; 2.013 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.368 ; 1.611 ; -; 2.019 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.614 ; 2.587 ; -; 2.040 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.579 ; 2.531 ; -; 2.041 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.472 ; 2.423 ; -; 2.078 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.512 ; -; 2.079 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.466 ; 2.146 ; -; 2.089 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.614 ; 2.517 ; -; 2.092 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.417 ; 2.084 ; -; 2.110 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.579 ; 2.461 ; -; 2.129 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.614 ; 2.477 ; -; 2.135 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 2.068 ; -; 2.137 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.466 ; 2.088 ; -; 2.156 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.417 ; 2.020 ; -; 2.159 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.431 ; -; 2.164 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 2.039 ; -; 2.187 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.478 ; 2.050 ; -; 2.189 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.472 ; 2.275 ; -; 2.194 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 2.009 ; -; 2.199 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.417 ; 1.977 ; -; 2.203 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.466 ; 2.022 ; -; 2.207 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.428 ; 1.980 ; -; 2.217 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.618 ; 2.393 ; -; 2.236 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.932 ; -; 2.263 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.466 ; 1.962 ; -; 2.283 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.618 ; 2.327 ; -; 2.331 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.618 ; 2.279 ; -; 2.340 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.579 ; 2.231 ; -; 2.342 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.302 ; 1.719 ; -; 2.380 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.210 ; -; 2.388 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.590 ; 2.194 ; -; 2.389 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.579 ; 2.182 ; -; 2.393 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.197 ; -; 2.396 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.590 ; 2.186 ; -; 2.404 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.302 ; 1.657 ; -; 2.407 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.302 ; 1.654 ; -; 2.422 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.168 ; -; 2.427 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.579 ; 2.144 ; -; 2.453 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.715 ; -; 2.466 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.417 ; 1.710 ; -; 2.468 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.700 ; -; 2.471 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 1.732 ; -; 2.474 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.614 ; 2.132 ; -; 2.476 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.428 ; 1.711 ; -; 2.480 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.688 ; -; 2.481 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.687 ; -; 2.486 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.598 ; 2.104 ; -; 2.499 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.455 ; 1.715 ; -; 2.506 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.662 ; -; 2.510 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.455 ; 1.704 ; -; 2.511 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.428 ; 1.676 ; -; 2.513 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.467 ; 1.713 ; -; 2.516 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 1.687 ; -; 2.520 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.455 ; 1.694 ; -; 2.525 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.455 ; 1.689 ; -; 2.525 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.428 ; 1.662 ; -; 2.526 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.642 ; -; 2.530 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.428 ; 1.657 ; -; 2.532 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.636 ; -; 2.533 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 1.670 ; -; 2.534 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.634 ; -; 2.535 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.636 ; 2.093 ; -; 2.536 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.632 ; -; 2.541 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.455 ; 1.673 ; -; 2.542 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.455 ; 1.672 ; -; 2.544 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.624 ; -; 2.547 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.428 ; 1.640 ; -; 2.548 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 1.655 ; -; 2.549 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.444 ; 1.654 ; -; 2.549 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.619 ; -; 2.549 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.619 ; -; 2.552 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.409 ; 1.616 ; -; 2.553 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.428 ; 1.634 ; +; 1.441 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.432 ; 2.983 ; +; 1.449 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.430 ; 2.973 ; +; 1.484 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.998 ; 1.510 ; +; 1.488 ; spi_slave:spi_slave_rx_inst|rdata[36] ; tx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.998 ; 1.506 ; +; 1.489 ; spi_slave:spi_slave_rx_inst|rdata[34] ; rx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.926 ; 1.577 ; +; 1.496 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.272 ; 2.535 ; +; 1.542 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.434 ; 2.884 ; +; 1.589 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.434 ; 2.837 ; +; 1.602 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.451 ; 2.841 ; +; 1.603 ; spi_slave:spi_slave_rx_inst|rdata[33] ; tx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.998 ; 1.391 ; +; 1.613 ; spi_slave:spi_slave_rx_inst|rdata[37] ; rx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.926 ; 1.453 ; +; 1.631 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.432 ; 2.793 ; +; 1.642 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.432 ; 2.782 ; +; 1.649 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.259 ; 2.369 ; +; 1.651 ; spi_slave:spi_slave_rx_inst|rdata[34] ; tx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.998 ; 1.343 ; +; 1.656 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.673 ; 1.663 ; +; 1.667 ; spi_slave:spi_slave_rx_inst|rdata[32] ; rx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.926 ; 1.399 ; +; 1.672 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.926 ; 1.394 ; +; 1.675 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 2.339 ; +; 1.676 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.998 ; 1.318 ; +; 1.697 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.422 ; 2.717 ; +; 1.701 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.926 ; 1.365 ; +; 1.712 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.047 ; 2.000 ; +; 1.762 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.126 ; 2.356 ; +; 1.790 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.047 ; 1.922 ; +; 1.804 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.128 ; 2.316 ; +; 1.840 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.432 ; 2.584 ; +; 1.844 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.434 ; 2.582 ; +; 1.851 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.422 ; 2.563 ; +; 1.857 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.128 ; 2.263 ; +; 1.903 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.270 ; 2.126 ; +; 1.903 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.926 ; 1.163 ; +; 1.905 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.418 ; 2.505 ; +; 1.917 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.443 ; 2.518 ; +; 1.928 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.445 ; 2.509 ; +; 1.963 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.445 ; 2.474 ; +; 1.963 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.272 ; 2.068 ; +; 1.974 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.122 ; 2.140 ; +; 1.976 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 2.038 ; +; 1.980 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.429 ; 2.441 ; +; 1.980 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.411 ; 2.423 ; +; 1.985 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.430 ; 2.437 ; +; 1.987 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.253 ; 2.025 ; +; 1.989 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.049 ; 1.721 ; +; 1.993 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.272 ; 2.038 ; +; 1.993 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.259 ; 2.025 ; +; 1.998 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.268 ; 2.029 ; +; 2.005 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.272 ; 2.026 ; +; 2.027 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.047 ; 1.685 ; +; 2.030 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 1.984 ; +; 2.033 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.272 ; 1.998 ; +; 2.057 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 1.963 ; +; 2.058 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.049 ; 1.652 ; +; 2.063 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.049 ; 1.647 ; +; 2.067 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.049 ; 1.643 ; +; 2.072 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.113 ; 2.033 ; +; 2.078 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.445 ; 2.359 ; +; 2.079 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.049 ; 1.631 ; +; 2.088 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.047 ; 1.624 ; +; 2.091 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.432 ; 2.333 ; +; 2.093 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.418 ; 2.317 ; +; 2.110 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.047 ; 1.602 ; +; 2.144 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.430 ; 2.278 ; +; 2.147 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.432 ; 2.277 ; +; 2.148 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.418 ; 2.262 ; +; 2.184 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.445 ; 2.253 ; +; 2.193 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.430 ; 2.229 ; +; 2.199 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.430 ; 2.223 ; +; 2.222 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.449 ; 2.219 ; +; 2.279 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.274 ; 1.754 ; +; 2.319 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.253 ; 1.693 ; +; 2.324 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.274 ; 1.709 ; +; 2.329 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.251 ; 1.681 ; +; 2.331 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.257 ; 1.685 ; +; 2.332 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 1.682 ; +; 2.335 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.253 ; 1.677 ; +; 2.336 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.274 ; 1.697 ; +; 2.337 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.257 ; 1.679 ; +; 2.337 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.257 ; 1.679 ; +; 2.339 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 1.675 ; +; 2.340 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.251 ; 1.670 ; +; 2.346 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.253 ; 1.666 ; +; 2.346 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.251 ; 1.664 ; +; 2.347 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.259 ; 1.671 ; +; 2.352 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.253 ; 1.660 ; +; 2.353 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.251 ; 1.657 ; +; 2.355 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 1.659 ; +; 2.358 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.257 ; 1.658 ; +; 2.361 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 1.653 ; +; 2.363 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.251 ; 1.647 ; +; 2.366 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.253 ; 1.646 ; +; 2.367 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.257 ; 1.649 ; +; 2.371 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.255 ; 1.643 ; +; 2.372 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.251 ; 1.638 ; +; 2.372 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.253 ; 1.640 ; +; 2.374 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.257 ; 1.642 ; +; 2.378 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.259 ; 1.640 ; +; 2.381 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.274 ; 1.652 ; +; 2.382 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.274 ; 1.651 ; +; 2.389 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.259 ; 1.629 ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ @@ -2991,32 +2991,32 @@ No paths to report. +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; 1.983 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.087 ; 6.423 ; -; 2.358 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.078 ; 6.039 ; -; 2.396 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.140 ; 6.072 ; -; 2.431 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.092 ; 6.154 ; -; 2.421 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.143 ; 6.052 ; -; 2.451 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.274 ; 6.327 ; -; 2.475 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.273 ; 6.298 ; -; 2.492 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.140 ; 5.975 ; -; 2.525 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.276 ; 6.255 ; -; 2.188 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.140 ; 6.271 ; -; 2.623 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.265 ; 6.146 ; -; 2.477 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.141 ; 5.993 ; -; 2.841 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.089 ; 5.734 ; -; 6.127 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.087 ; 7.279 ; -; 6.322 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.078 ; 7.075 ; -; 6.564 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.143 ; 6.909 ; -; 6.767 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.141 ; 6.703 ; -; 6.791 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.265 ; 6.978 ; -; 6.809 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.273 ; 6.964 ; -; 6.819 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.092 ; 6.766 ; -; 6.825 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.089 ; 6.750 ; -; 6.884 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.140 ; 6.584 ; -; 7.189 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.140 ; 6.278 ; -; 6.363 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.140 ; 7.096 ; -; 7.515 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.274 ; 6.263 ; -; 7.554 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.276 ; 6.226 ; +; 1.644 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.040 ; 6.891 ; +; 1.662 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.047 ; 6.870 ; +; 1.717 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.041 ; 6.818 ; +; 1.719 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.040 ; 6.816 ; +; 1.785 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.027 ; 6.727 ; +; 1.789 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.042 ; 6.580 ; +; 1.803 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.028 ; 6.545 ; +; 1.806 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.045 ; 6.558 ; +; 1.819 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.042 ; 6.714 ; +; 1.913 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.016 ; 6.588 ; +; 1.970 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.042 ; 6.567 ; +; 1.825 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.049 ; 6.710 ; +; 2.155 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 4.046 ; 6.376 ; +; 5.952 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.027 ; 7.560 ; +; 5.992 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.045 ; 7.372 ; +; 6.012 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.028 ; 7.336 ; +; 6.107 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.040 ; 7.428 ; +; 6.119 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.049 ; 7.416 ; +; 6.216 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.041 ; 7.319 ; +; 6.119 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.040 ; 7.416 ; +; 6.451 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.046 ; 7.080 ; +; 6.087 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.047 ; 7.445 ; +; 6.189 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.042 ; 7.344 ; +; 6.681 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.042 ; 6.856 ; +; 6.770 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.042 ; 6.599 ; +; 7.047 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 4.016 ; 6.454 ; +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ @@ -3025,127 +3025,127 @@ No paths to report. +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -; 2.561 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.002 ; 7.417 ; -; 2.667 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.028 ; 7.285 ; -; 2.852 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.145 ; 6.983 ; -; 3.178 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.028 ; 6.774 ; -; 4.417 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.537 ; 5.026 ; -; 4.696 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.145 ; 5.139 ; -; 4.700 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.145 ; 5.135 ; -; 4.712 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.002 ; 5.266 ; -; 5.121 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.002 ; 4.857 ; -; 5.243 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.002 ; 4.735 ; -; 5.493 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.002 ; 4.485 ; -; 5.500 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.002 ; 4.478 ; +; 1.915 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.309 ; 7.756 ; +; 2.919 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.918 ; 7.143 ; +; 2.960 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.737 ; 7.283 ; +; 3.061 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.918 ; 7.001 ; +; 3.624 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.309 ; 6.047 ; +; 3.675 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.567 ; 5.738 ; +; 4.804 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.918 ; 5.258 ; +; 4.886 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.918 ; 5.176 ; +; 4.943 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.918 ; 5.119 ; +; 5.000 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -3.307 ; 4.673 ; +; 5.041 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.918 ; 5.021 ; +; 5.207 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.966 ; 4.807 ; +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -+-----------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'clk_10mhz' ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 92.340 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.590 ; 7.072 ; -; 92.418 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 7.002 ; -; 92.418 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 7.002 ; -; 92.418 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 7.002 ; -; 92.418 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 7.002 ; -; 92.418 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 7.002 ; -; 92.418 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 7.002 ; -; 92.418 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 7.002 ; -; 92.677 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.590 ; 6.735 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.731 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.678 ; -; 92.732 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.584 ; 6.686 ; -; 92.755 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 6.665 ; -; 92.755 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 6.665 ; -; 92.755 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 6.665 ; -; 92.755 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 6.665 ; -; 92.755 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 6.665 ; -; 92.755 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 6.665 ; -; 92.755 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.582 ; 6.665 ; -; 92.810 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.616 ; -; 92.810 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.616 ; -; 92.810 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.616 ; -; 92.810 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.616 ; -; 92.810 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.616 ; -; 92.810 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.616 ; -; 92.810 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.616 ; -; 92.981 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.584 ; 6.437 ; -; 93.002 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.584 ; 6.416 ; -; 93.059 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.367 ; -; 93.059 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.367 ; -; 93.059 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.367 ; -; 93.059 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.367 ; -; 93.059 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.367 ; -; 93.059 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.367 ; -; 93.059 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.367 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.068 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.593 ; 6.341 ; -; 93.080 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.346 ; -; 93.080 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.346 ; -; 93.080 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.346 ; -; 93.080 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.346 ; -; 93.080 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.346 ; -; 93.080 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.346 ; -; 93.080 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.346 ; -; 93.097 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.584 ; 6.321 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.123 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.292 ; -; 93.175 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.251 ; -; 93.175 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.251 ; -; 93.175 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.251 ; -; 93.175 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.251 ; -; 93.175 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.251 ; -; 93.175 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.251 ; -; 93.175 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.251 ; -; 93.246 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.584 ; 6.172 ; -; 93.267 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.116 ; 6.619 ; -; 93.268 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.584 ; 6.150 ; -; 93.324 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.102 ; -; 93.324 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.102 ; -; 93.324 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.102 ; -; 93.324 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.102 ; -; 93.324 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.102 ; -; 93.324 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.102 ; -; 93.324 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.102 ; -; 93.346 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.080 ; -; 93.346 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.080 ; -; 93.346 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.080 ; -; 93.346 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.080 ; -; 93.346 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.080 ; -; 93.346 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.080 ; -; 93.346 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.576 ; 6.080 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.372 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.043 ; -; 93.393 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.022 ; -; 93.393 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.022 ; -; 93.393 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.587 ; 6.022 ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Setup: 'clk_10mhz' ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.046 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.452 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.328 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.093 ; +; 93.338 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.503 ; 6.161 ; +; 93.338 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.503 ; 6.161 ; +; 93.338 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.503 ; 6.161 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.350 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 6.071 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.360 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.504 ; 6.138 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.433 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.988 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.456 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.581 ; 5.965 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.480 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.632 ; 5.890 ; +; 93.481 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.070 ; 6.451 ; +; 93.481 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.070 ; 6.451 ; +; 93.481 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.070 ; 6.451 ; +; 93.481 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.070 ; 6.451 ; +; 93.481 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.070 ; 6.451 ; +; 93.481 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.070 ; 6.451 ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -3153,44 +3153,59 @@ No paths to report. +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 2496.630 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.021 ; 3.413 ; +; 2495.085 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.109 ; 4.828 ; +; 2495.086 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.109 ; 4.827 ; +; 2495.302 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.109 ; 4.611 ; +; 2495.303 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.109 ; 4.610 ; +; 2495.532 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.109 ; 4.381 ; +; 2495.636 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 4.329 ; +; 2495.637 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 4.328 ; +; 2495.749 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.109 ; 4.164 ; +; 2496.083 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 3.882 ; +; 2496.226 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.378 ; 3.418 ; +; 2496.227 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.378 ; 3.417 ; +; 2496.484 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 3.772 ; +; 2496.650 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.945 ; +; 2496.652 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.943 ; +; 2496.658 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.937 ; +; 2496.659 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.936 ; +; 2496.673 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.378 ; 2.971 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; +; 2496.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.111 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; -; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; -; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; +; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; +; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; +; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; -; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; -; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; -; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; -; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; +; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; +; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; +; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; ; 2496.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.106 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.021 ; 3.361 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; -; 2496.682 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.105 ; 3.157 ; ; 2496.684 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.103 ; 3.157 ; ; 2496.684 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.103 ; 3.157 ; ; 2496.684 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.103 ; 3.157 ; @@ -3203,56 +3218,41 @@ No paths to report. ; 2496.684 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.103 ; 3.157 ; ; 2496.684 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.103 ; 3.157 ; ; 2496.684 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.103 ; 3.157 ; -; 2496.827 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.335 ; 2.860 ; -; 2496.987 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.202 ; 2.870 ; -; 2497.024 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.335 ; 2.663 ; -; 2497.052 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.148 ; 2.859 ; -; 2497.165 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.757 ; -; 2497.184 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.202 ; 2.673 ; -; 2497.187 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.145 ; 2.980 ; -; 2497.217 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.705 ; -; 2497.223 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.021 ; 2.820 ; -; 2497.239 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.145 ; 2.928 ; -; 2497.249 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.148 ; 2.662 ; -; 2497.249 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.021 ; 2.794 ; -; 2497.313 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.058 ; 2.767 ; -; 2497.353 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.081 ; 2.625 ; -; 2497.364 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.058 ; 2.716 ; -; 2497.411 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.141 ; 2.507 ; -; 2497.451 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.027 ; 2.581 ; -; 2497.473 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.449 ; -; 2497.525 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.397 ; -; 2497.608 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.141 ; 2.310 ; -; 2497.743 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.141 ; 2.175 ; -; 2497.758 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.164 ; -; 2497.768 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.154 ; -; 2497.780 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.145 ; 2.387 ; -; 2497.784 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.138 ; -; 2497.791 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.051 ; 2.180 ; -; 2497.806 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.145 ; 2.361 ; -; 2497.820 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 2.102 ; -; 2497.834 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.021 ; 2.209 ; -; 2497.845 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.020 ; 2.194 ; -; 2497.905 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.058 ; 2.175 ; -; 2497.934 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.058 ; 2.146 ; -; 2497.957 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.303 ; 1.762 ; -; 2497.963 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.252 ; 1.807 ; -; 2497.964 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.202 ; 1.893 ; -; 2497.968 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.021 ; 2.075 ; -; 2498.029 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.148 ; 1.882 ; -; 2498.031 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 1.891 ; -; 2498.036 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 1.886 ; -; 2498.036 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 1.886 ; -; 2498.059 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.148 ; 1.852 ; -; 2498.061 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.141 ; 1.857 ; -; 2498.066 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 1.856 ; -; 2498.078 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.194 ; 2.138 ; -; 2498.083 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 1.839 ; -; 2498.088 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 1.834 ; -; 2498.092 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.100 ; 1.830 ; -; 2498.131 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.303 ; 1.588 ; -; 2498.160 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.070 ; 1.932 ; -; 2498.186 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.303 ; 1.533 ; +; 2496.701 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 3.555 ; +; 2496.840 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 3.416 ; +; 2496.852 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.389 ; 2.781 ; +; 2496.930 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.665 ; +; 2496.931 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.664 ; +; 2497.032 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.389 ; 2.601 ; +; 2497.035 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.286 ; 3.273 ; +; 2497.043 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 3.213 ; +; 2497.057 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 3.199 ; +; 2497.089 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 3.167 ; +; 2497.105 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.490 ; +; 2497.113 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.482 ; +; 2497.115 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.480 ; +; 2497.124 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.447 ; 3.382 ; +; 2497.260 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 2.996 ; +; 2497.306 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.234 ; 2.950 ; +; 2497.377 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.218 ; +; 2497.391 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.286 ; 2.917 ; +; 2497.424 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.240 ; 2.875 ; +; 2497.440 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.485 ; 2.097 ; +; 2497.532 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.063 ; +; 2497.539 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.389 ; 2.094 ; +; 2497.565 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.427 ; 2.030 ; +; 2497.565 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.502 ; 1.955 ; +; 2497.569 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.035 ; 2.418 ; +; 2497.576 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.316 ; 2.799 ; +; 2497.594 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.286 ; 2.714 ; +; 2497.623 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.129 ; 2.565 ; +; 2497.640 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.286 ; 2.668 ; +; 2497.698 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.078 ; 2.283 ; +; 2497.702 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.485 ; 1.835 ; +; 2497.768 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.002 ; 2.289 ; +; 2497.822 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.368 ; 2.605 ; +; 2497.855 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.129 ; 2.333 ; +; 2497.869 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.389 ; 1.764 ; +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -3261,539 +3261,431 @@ No paths to report. +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2599.732 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 4.218 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2600.354 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 3.608 ; -; 2602.291 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 1.659 ; -; 2602.915 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 1.035 ; -; 2602.916 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.218 ; 1.034 ; -; 5202.125 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.139 ; -; 5202.125 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.139 ; -; 5202.125 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.139 ; -; 5202.125 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.139 ; -; 5202.173 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.090 ; -; 5202.173 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.090 ; -; 5202.173 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.090 ; -; 5202.173 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.090 ; -; 5202.173 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.090 ; -; 5202.173 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.090 ; -; 5202.459 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.805 ; -; 5202.459 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.805 ; -; 5202.459 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.805 ; -; 5202.459 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.805 ; -; 5202.507 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.756 ; -; 5202.507 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.756 ; -; 5202.507 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.756 ; -; 5202.507 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.756 ; -; 5202.507 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.756 ; -; 5202.507 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.756 ; -; 5202.633 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.631 ; -; 5202.633 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.631 ; -; 5202.633 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.631 ; -; 5202.633 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.631 ; -; 5202.656 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.608 ; -; 5202.656 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.608 ; -; 5202.656 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.608 ; -; 5202.656 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.608 ; -; 5202.680 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.584 ; -; 5202.680 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.584 ; -; 5202.680 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.584 ; -; 5202.680 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.584 ; -; 5202.681 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.582 ; -; 5202.681 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.582 ; -; 5202.681 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.582 ; -; 5202.681 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.582 ; -; 5202.681 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.582 ; -; 5202.681 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.582 ; -; 5202.704 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.559 ; -; 5202.704 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.559 ; -; 5202.704 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.559 ; -; 5202.704 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.559 ; -; 5202.704 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.559 ; -; 5202.704 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.559 ; -; 5202.718 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.546 ; -; 5202.718 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.546 ; -; 5202.718 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.546 ; -; 5202.718 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.546 ; -; 5202.728 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.535 ; -; 5202.728 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.535 ; -; 5202.728 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.535 ; -; 5202.728 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.535 ; -; 5202.728 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.535 ; -; 5202.728 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.535 ; -; 5202.766 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.497 ; -; 5202.766 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.497 ; -; 5202.766 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.497 ; -; 5202.766 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.497 ; -; 5202.766 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.497 ; -; 5202.766 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.497 ; -; 5202.901 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.363 ; -; 5202.901 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.363 ; -; 5202.901 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.363 ; -; 5202.901 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.363 ; -; 5202.949 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.314 ; -; 5202.949 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.314 ; -; 5202.949 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.314 ; -; 5202.949 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.314 ; -; 5202.949 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.314 ; -; 5202.949 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.314 ; -; 5202.980 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.284 ; -; 5202.980 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.284 ; -; 5202.980 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.284 ; -; 5202.980 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.284 ; -; 5203.028 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.235 ; -; 5203.028 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.235 ; -; 5203.028 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.235 ; -; 5203.028 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.235 ; -; 5203.028 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.235 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.239 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.723 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2599.741 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 4.221 ; +; 2601.881 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 2.081 ; +; 2601.881 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 2.081 ; +; 2601.973 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.206 ; 1.989 ; +; 5201.006 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 7.258 ; +; 5201.006 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 7.258 ; +; 5201.006 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 7.258 ; +; 5201.006 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 7.258 ; +; 5201.217 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 7.046 ; +; 5201.217 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 7.046 ; +; 5201.217 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 7.046 ; +; 5201.217 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 7.046 ; +; 5201.217 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 7.046 ; +; 5201.217 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 7.046 ; +; 5201.292 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.972 ; +; 5201.292 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.972 ; +; 5201.292 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.972 ; +; 5201.292 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.972 ; +; 5201.441 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.823 ; +; 5201.441 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.823 ; +; 5201.441 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.823 ; +; 5201.441 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.823 ; +; 5201.503 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.760 ; +; 5201.503 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.760 ; +; 5201.503 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.760 ; +; 5201.503 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.760 ; +; 5201.503 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.760 ; +; 5201.503 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.760 ; +; 5201.510 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.754 ; +; 5201.510 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.754 ; +; 5201.510 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.754 ; +; 5201.510 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.754 ; +; 5201.652 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.611 ; +; 5201.652 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.611 ; +; 5201.652 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.611 ; +; 5201.652 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.611 ; +; 5201.652 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.611 ; +; 5201.652 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.611 ; +; 5201.721 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.542 ; +; 5201.721 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.542 ; +; 5201.721 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.542 ; +; 5201.721 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.542 ; +; 5201.721 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.542 ; +; 5201.721 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 6.542 ; +; 5202.066 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.083 ; 6.186 ; +; 5202.155 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.109 ; +; 5202.155 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.109 ; +; 5202.155 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.109 ; +; 5202.155 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.109 ; +; 5202.220 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.044 ; +; 5202.220 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.044 ; +; 5202.220 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.044 ; +; 5202.220 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.044 ; +; 5202.224 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.040 ; +; 5202.224 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.040 ; +; 5202.224 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.040 ; +; 5202.224 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 6.040 ; +; 5202.352 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.083 ; 5.900 ; +; 5202.366 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.897 ; +; 5202.366 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.897 ; +; 5202.366 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.897 ; +; 5202.366 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.897 ; +; 5202.366 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.897 ; +; 5202.366 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.897 ; +; 5202.392 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.872 ; +; 5202.392 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.872 ; +; 5202.392 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.872 ; +; 5202.392 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.872 ; +; 5202.431 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.832 ; +; 5202.431 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.832 ; +; 5202.431 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.832 ; +; 5202.431 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.832 ; +; 5202.431 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.832 ; +; 5202.431 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.832 ; +; 5202.435 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.828 ; +; 5202.435 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.828 ; +; 5202.435 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.828 ; +; 5202.435 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.828 ; +; 5202.435 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.828 ; +; 5202.435 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.072 ; 5.828 ; +; 5202.501 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.083 ; 5.751 ; +; 5202.545 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.719 ; +; 5202.545 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.071 ; 5.719 ; +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.970 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.295 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.969 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.810 ; -; 33326.454 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.809 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.694 ; -; 33326.569 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.695 ; -; 33326.682 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|keyer_out ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.068 ; 6.585 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.566 ; -; 33326.698 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.567 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.070 ; 6.535 ; -; 33326.730 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.534 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.439 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.438 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.438 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.438 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.438 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.438 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.439 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.438 ; -; 33326.825 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.438 ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.618 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.645 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33325.659 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.604 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.063 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.200 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.097 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.166 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.104 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.159 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.138 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 7.125 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.410 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.853 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.451 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.812 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.624 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.639 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.665 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.072 ; 6.598 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.706 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.071 ; 6.558 ; +; 33326.739 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.077 ; 6.519 ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'spi_ce0' ; +; Slow 1200mV 0C Model Hold: 'spi_ce1' ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.241 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.636 ; 1.107 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; -; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; -; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; -; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; -; 0.426 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.669 ; -; 0.428 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; -; 0.428 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; -; 0.428 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; -; 0.428 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; -; 0.428 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; -; 0.437 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 0.741 ; -; 0.440 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 0.744 ; -; 0.455 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 0.759 ; -; 0.456 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 0.760 ; -; 0.456 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 0.760 ; -; 0.457 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 0.761 ; -; 0.470 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.755 ; -; 0.470 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.716 ; -; 0.473 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.716 ; -; 0.492 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.738 ; -; 0.493 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.778 ; -; 0.494 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.740 ; -; 0.495 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.738 ; -; 0.499 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.745 ; -; 0.508 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.754 ; -; 0.519 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.022 ; 0.736 ; -; 0.521 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.008 ; 0.724 ; -; 0.540 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.008 ; 0.743 ; -; 0.559 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.008 ; 0.762 ; -; 0.561 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.009 ; 0.765 ; -; 0.574 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.605 ; 1.409 ; -; 0.578 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.467 ; 1.240 ; -; 0.581 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.363 ; 1.174 ; -; 0.581 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.593 ; 1.404 ; -; 0.581 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.467 ; 1.243 ; -; 0.584 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.546 ; 1.360 ; -; 0.587 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.320 ; 1.137 ; -; 0.592 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.546 ; 1.368 ; -; 0.598 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.883 ; -; 0.600 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.846 ; -; 0.628 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.416 ; 1.239 ; -; 0.630 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 0.934 ; -; 0.631 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.416 ; 1.242 ; -; 0.631 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.563 ; 1.424 ; -; 0.632 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.416 ; 1.243 ; -; 0.635 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.546 ; 1.411 ; -; 0.639 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.566 ; 1.435 ; -; 0.641 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.320 ; 1.191 ; -; 0.642 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.247 ; 1.119 ; -; 0.644 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.247 ; 1.121 ; -; 0.644 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.890 ; -; 0.648 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.894 ; -; 0.651 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.008 ; 0.854 ; -; 0.651 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.320 ; 1.201 ; -; 0.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.247 ; 1.130 ; -; 0.666 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.561 ; 1.457 ; -; 0.672 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.566 ; 1.468 ; -; 0.673 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.009 ; 0.877 ; -; 0.676 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.563 ; 1.469 ; -; 0.680 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.965 ; -; 0.685 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.247 ; 1.162 ; -; 0.704 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.924 ; -; 0.704 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.320 ; 1.254 ; -; 0.709 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.955 ; -; 0.714 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.934 ; -; 0.720 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.008 ; 0.923 ; -; 0.741 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.009 ; 0.945 ; -; 0.743 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.989 ; -; 0.746 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.992 ; -; 0.751 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.997 ; -; 0.754 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.995 ; -; 0.755 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 1.001 ; -; 0.757 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.363 ; 1.350 ; -; 0.759 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 1.005 ; -; 0.759 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.636 ; 1.625 ; -; 0.760 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 1.001 ; -; 0.762 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 1.005 ; -; 0.774 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.008 ; 0.977 ; -; 0.779 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.009 ; 0.983 ; -; 0.784 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 1.025 ; -; 0.790 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.332 ; 1.352 ; -; 0.792 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.383 ; 1.370 ; -; 0.794 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 1.040 ; -; 0.800 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.332 ; 1.362 ; -; 0.805 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 1.049 ; -; 0.806 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.605 ; 1.641 ; -; 0.818 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.109 ; 1.122 ; +; 0.341 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.562 ; 1.133 ; +; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; +; 0.424 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.050 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.049 ; 0.669 ; +; 0.442 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.109 ; 0.746 ; +; 0.444 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.109 ; 0.748 ; +; 0.471 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.717 ; +; 0.477 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.698 ; 1.405 ; +; 0.490 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.698 ; 1.418 ; +; 0.585 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.109 ; 0.889 ; +; 0.601 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.847 ; +; 0.636 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.882 ; +; 0.639 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.109 ; 0.943 ; +; 0.639 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.885 ; +; 0.683 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.109 ; 0.987 ; +; 0.693 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.483 ; 1.406 ; +; 0.708 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.007 ; 0.910 ; +; 0.710 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.956 ; +; 0.731 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.977 ; +; 0.732 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.978 ; +; 0.732 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.483 ; 1.445 ; +; 0.743 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.698 ; 1.671 ; +; 0.748 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.049 ; 0.992 ; +; 0.755 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.049 ; 0.999 ; +; 0.782 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.109 ; 1.086 ; +; 0.806 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.052 ; +; 0.827 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.343 ; 1.400 ; +; 0.846 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.092 ; +; 0.861 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.007 ; 1.063 ; +; 0.868 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.562 ; 1.660 ; +; 0.876 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.254 ; 1.360 ; +; 0.905 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.140 ; 1.240 ; +; 0.907 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.140 ; 1.242 ; +; 0.973 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.175 ; 1.378 ; +; 0.990 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.207 ; 1.427 ; +; 1.006 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.399 ; 1.600 ; +; 1.009 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.698 ; 1.937 ; +; 1.024 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.483 ; 1.737 ; +; 1.048 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.109 ; 1.352 ; +; 1.064 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.390 ; 1.684 ; +; 1.127 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.373 ; +; 1.156 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.402 ; +; 1.172 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.562 ; 1.964 ; +; 1.186 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.026 ; 1.407 ; +; 1.211 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.207 ; 1.648 ; +; 1.212 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.178 ; 1.229 ; +; 1.290 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.483 ; 2.003 ; +; 1.307 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.207 ; 1.744 ; +; 1.308 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.128 ; 1.666 ; +; 1.313 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.282 ; 1.226 ; +; 1.331 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.349 ; 1.875 ; +; 1.365 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.128 ; 1.723 ; +; 1.389 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.128 ; 1.747 ; +; 1.404 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.349 ; 1.948 ; +; 1.414 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.343 ; 1.987 ; +; 1.437 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.683 ; +; 1.438 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.562 ; 2.230 ; +; 1.473 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.343 ; 2.046 ; +; 1.516 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.234 ; 1.477 ; +; 1.552 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.234 ; 1.513 ; +; 1.578 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.207 ; 2.015 ; +; 1.583 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.140 ; 1.918 ; +; 1.615 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.343 ; 2.188 ; +; 1.653 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.128 ; 2.011 ; +; 1.718 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.315 ; 1.598 ; +; 1.810 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.439 ; 2.444 ; +; 1.823 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.140 ; 2.158 ; +; 1.826 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.234 ; 1.787 ; +; 1.850 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 1.759 ; +; 1.882 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.439 ; 2.516 ; +; 1.896 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.329 ; 1.762 ; +; 1.912 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 1.821 ; +; 1.921 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.315 ; 1.801 ; +; 1.942 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.512 ; 2.684 ; +; 1.954 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 1.863 ; +; 2.066 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.439 ; 2.700 ; +; 2.103 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.433 ; 2.766 ; +; 2.107 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.389 ; 2.691 ; +; 2.179 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.389 ; 2.763 ; +; 2.180 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.389 ; 2.764 ; +; 2.240 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.389 ; 2.824 ; +; 2.264 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 2.173 ; +; 2.291 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 2.200 ; +; 2.293 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 2.202 ; +; 2.302 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.234 ; 2.263 ; +; 2.303 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 2.212 ; +; 2.305 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 2.214 ; +; 2.308 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.234 ; 2.269 ; +; 2.345 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.648 ; 3.223 ; +; 2.345 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.439 ; 2.979 ; +; 2.363 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.389 ; 2.947 ; +; 2.436 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.389 ; 3.020 ; +; 2.613 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.286 ; 2.522 ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'ad9866_clk' ; -+-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.329 ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[12] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_datain_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.752 ; 1.311 ; -; 0.389 ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[9] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_datain_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.752 ; 1.371 ; -; 0.422 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.422 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; -; 0.423 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; -; 0.424 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; -; 0.424 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; -; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; -; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; -; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; -; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; -; 0.424 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; -; 0.425 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; -; 0.425 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; -; 0.425 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; -; 0.426 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.426 ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; -; 0.427 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; -; 0.427 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; -; 0.427 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; -; 0.427 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; -; 0.427 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; -; 0.427 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; -; 0.431 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][22] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][22] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.311 ; 0.937 ; -; 0.453 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.101 ; 0.749 ; -; 0.462 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[8] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.244 ; 0.901 ; -; 0.471 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.716 ; -; 0.472 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.716 ; -; 0.476 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.096 ; 0.767 ; -; 0.476 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.101 ; 0.772 ; -; 0.481 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.101 ; 0.777 ; -; 0.483 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[6] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.244 ; 0.922 ; -; 0.483 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[5] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.244 ; 0.922 ; -; 0.483 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[3] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.244 ; 0.922 ; -; 0.484 ; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[30] ; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[30] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.221 ; 0.900 ; -; 0.490 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][17] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][17] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.240 ; 0.925 ; -; 0.490 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; -; 0.490 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; -; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; -; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; -; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; -; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; -; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.737 ; -; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.737 ; -; 0.491 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][1] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.736 ; -; 0.491 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[10][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.737 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.739 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.739 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; -; 0.492 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; -; 0.492 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|parity5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.230 ; 0.917 ; -; 0.492 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; -; 0.492 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[9][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; -; 0.492 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.737 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.738 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.738 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.740 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.740 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.739 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.739 ; -; 0.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.739 ; -+-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 0.403 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0011 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; profile:profile_CW|enable_hang ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; profile:profile_CW|char_PTT ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.406 ; profile:profile_CW|hang_PTT ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.669 ; -; 0.406 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.669 ; -; 0.501 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.767 ; -; 0.508 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.774 ; -; 0.694 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.960 ; -; 0.694 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.960 ; -; 0.694 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.960 ; -; 0.695 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; -; 0.696 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.960 ; -; 0.696 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.960 ; -; 0.696 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.962 ; -; 0.697 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.961 ; -; 0.697 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.961 ; -; 0.697 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.960 ; -; 0.697 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.960 ; -; 0.697 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.960 ; -; 0.697 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.963 ; -; 0.697 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.963 ; -; 0.698 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.962 ; -; 0.699 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.965 ; -; 0.699 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.962 ; -; 0.700 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.964 ; -; 0.700 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.963 ; -; 0.701 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.964 ; -; 0.706 ; profile:profile_CW|timer[17] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.972 ; -; 0.708 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.974 ; -; 0.708 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.974 ; -; 0.709 ; profile:profile_CW|hang_timer[17] ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.973 ; -; 0.709 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.975 ; -; 0.710 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.976 ; -; 0.711 ; profile:profile_CW|hang_timer[16] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.975 ; -; 0.712 ; profile:profile_CW|hang_timer[14] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.976 ; -; 0.713 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.976 ; -; 0.723 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.989 ; -; 0.726 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.989 ; -; 0.728 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.991 ; -; 0.766 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.032 ; -; 0.766 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.032 ; -; 0.777 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.043 ; -; 0.784 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.050 ; -; 0.820 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.086 ; -; 0.831 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 1.098 ; -; 0.850 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.404 ; 1.484 ; -; 0.855 ; profile:profile_CW|hang_timer[8] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.118 ; -; 0.871 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.418 ; 1.519 ; -; 0.881 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.405 ; 1.516 ; -; 0.882 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.148 ; -; 0.946 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 1.213 ; -; 0.971 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.418 ; 1.619 ; -; 1.013 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.279 ; -; 1.014 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.280 ; -; 1.014 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.280 ; -; 1.015 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.279 ; -; 1.015 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.405 ; 1.650 ; -; 1.016 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.282 ; -; 1.016 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.282 ; -; 1.016 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.279 ; -; 1.018 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.284 ; -; 1.018 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.284 ; -; 1.018 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.281 ; -; 1.018 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.284 ; -; 1.018 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.284 ; -; 1.019 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.285 ; -; 1.019 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.285 ; -; 1.019 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.283 ; -; 1.019 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.283 ; -; 1.019 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.285 ; -; 1.020 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.283 ; -; 1.020 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.286 ; -; 1.020 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.284 ; -; 1.021 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.284 ; -; 1.021 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.284 ; -; 1.021 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.285 ; -; 1.021 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.284 ; -; 1.022 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.286 ; -; 1.024 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.287 ; -; 1.027 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.293 ; -; 1.028 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.294 ; -; 1.028 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.294 ; -; 1.029 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.295 ; -; 1.029 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.295 ; -; 1.030 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.294 ; -; 1.030 ; profile:profile_CW|hang_timer[16] ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.294 ; -; 1.030 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.296 ; -; 1.031 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.297 ; -; 1.031 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.294 ; -; 1.031 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.297 ; -; 1.031 ; profile:profile_CW|hang_timer[14] ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.295 ; -; 1.033 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.299 ; -; 1.033 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.296 ; -+-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Hold: 'ad9866_clk' ; ++-------+------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.387 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.465 ; 1.082 ; +; 0.396 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.491 ; 1.117 ; +; 0.415 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.480 ; 1.090 ; +; 0.422 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.669 ; +; 0.423 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.669 ; +; 0.424 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; +; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; +; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; +; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; +; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; +; 0.424 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; +; 0.424 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.669 ; +; 0.426 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.048 ; 0.669 ; +; 0.427 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; +; 0.427 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.047 ; 0.669 ; +; 0.432 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.112 ; 0.739 ; +; 0.436 ; transmitter:transmitter_inst|counter[0] ; transmitter:transmitter_inst|counter[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.684 ; +; 0.442 ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[42] ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|out_data[42] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.462 ; 1.099 ; +; 0.449 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.103 ; 0.747 ; +; 0.464 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.112 ; 0.771 ; +; 0.470 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][1] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.715 ; +; 0.470 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|parity5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.716 ; +; 0.470 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.715 ; +; 0.473 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.112 ; 0.780 ; +; 0.478 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.722 ; +; 0.487 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[4] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.404 ; 1.086 ; +; 0.487 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_integrator:cic_stages[4].cic_integrator_inst|out_data[38] ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|prev_data[38] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.085 ; 0.767 ; +; 0.489 ; receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|prev_data[10] ; receiver:receiver_rx2_inst|cic:cic_inst_I2|cic_comb:cic_stages[0].cic_comb_inst|out_data[10] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.479 ; 1.163 ; +; 0.489 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.053 ; 0.737 ; +; 0.489 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.736 ; +; 0.490 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.490 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.490 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.490 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.490 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.490 ; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|prev_data[42] ; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[42] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.203 ; 0.888 ; +; 0.490 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.490 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.490 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][1] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.737 ; +; 0.491 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rEnd3 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.737 ; +; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.737 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.737 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[6][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.491 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.735 ; +; 0.491 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.738 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.739 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.739 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.739 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.052 ; 0.739 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.049 ; 0.736 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; +; 0.492 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.050 ; 0.737 ; +; 0.492 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; +; 0.492 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.051 ; 0.738 ; ++-------+------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -3802,105 +3694,105 @@ No paths to report. ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ; 0.403 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; ; 0.403 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; ; 0.403 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; -; 0.668 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.934 ; -; 0.669 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.934 ; -; 0.696 ; iambic:iambic_inst|key_state.PREDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.962 ; -; 0.702 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.968 ; -; 0.702 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.968 ; +; 0.403 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; +; 0.403 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.669 ; +; 0.404 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.669 ; +; 0.471 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|key_state.DASHHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.737 ; +; 0.624 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 0.889 ; +; 0.655 ; iambic:iambic_inst|key_state.DOTHELD ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.921 ; +; 0.659 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.925 ; +; 0.670 ; iambic:iambic_inst|key_state.PREDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.936 ; +; 0.701 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.968 ; +; 0.702 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.969 ; ; 0.703 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.969 ; -; 0.703 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.969 ; -; 0.704 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.970 ; -; 0.705 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.971 ; -; 0.707 ; iambic:iambic_inst|delay[17] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.973 ; -; 0.708 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.974 ; -; 0.708 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.974 ; +; 0.707 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.974 ; +; 0.707 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.974 ; ; 0.709 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.975 ; -; 0.709 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.975 ; -; 0.710 ; iambic:iambic_inst|key_state.PREDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.976 ; -; 0.710 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.976 ; -; 0.710 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.976 ; -; 0.715 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.981 ; +; 0.715 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.981 ; +; 0.715 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.982 ; +; 0.715 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.982 ; +; 0.715 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.982 ; ; 0.716 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.982 ; -; 0.716 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.982 ; +; 0.716 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 0.983 ; +; 0.717 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.983 ; ; 0.717 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.983 ; -; 0.737 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.003 ; -; 0.789 ; iambic:iambic_inst|key_state.DOTHELD ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.055 ; -; 0.808 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.074 ; -; 0.854 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.00000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.120 ; -; 0.950 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.217 ; -; 1.008 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.274 ; -; 1.021 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.287 ; -; 1.022 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.288 ; -; 1.024 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.290 ; -; 1.026 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.292 ; +; 0.717 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.983 ; +; 0.718 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.984 ; +; 0.720 ; iambic:iambic_inst|delay[17] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.986 ; +; 0.729 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 0.995 ; +; 0.744 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.011 ; +; 0.772 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|key_state.DASHHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.038 ; +; 0.866 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.132 ; +; 0.873 ; iambic:iambic_inst|key_state.PREDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.139 ; +; 0.954 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.219 ; +; 0.968 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.233 ; +; 1.014 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.279 ; +; 1.021 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.288 ; +; 1.025 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.292 ; +; 1.026 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.293 ; +; 1.027 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.293 ; ; 1.027 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.293 ; -; 1.028 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.293 ; -; 1.028 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.294 ; ; 1.028 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.294 ; -; 1.030 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.296 ; -; 1.031 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.297 ; -; 1.033 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.299 ; -; 1.034 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.300 ; -; 1.034 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.300 ; -; 1.034 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.300 ; +; 1.034 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.301 ; ; 1.035 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.301 ; -; 1.036 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.302 ; ; 1.036 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.302 ; -; 1.037 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.303 ; -; 1.039 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.305 ; -; 1.040 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.306 ; -; 1.043 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.308 ; -; 1.047 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.313 ; -; 1.049 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.315 ; +; 1.036 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.303 ; +; 1.037 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.303 ; +; 1.039 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.306 ; +; 1.039 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.306 ; +; 1.039 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.305 ; +; 1.039 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.306 ; +; 1.039 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.305 ; +; 1.040 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.307 ; +; 1.041 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.308 ; +; 1.041 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.307 ; +; 1.042 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.308 ; +; 1.049 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.316 ; ; 1.050 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.316 ; ; 1.051 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.317 ; -; 1.068 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.334 ; -; 1.124 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.390 ; -; 1.125 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.391 ; -; 1.126 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.392 ; -; 1.127 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.393 ; -; 1.128 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.394 ; -; 1.129 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.395 ; -; 1.129 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.395 ; -; 1.138 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.403 ; -; 1.143 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.409 ; -; 1.144 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.410 ; -; 1.146 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.412 ; -; 1.148 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.414 ; +; 1.052 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.318 ; +; 1.054 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.321 ; +; 1.124 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.391 ; +; 1.125 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.391 ; +; 1.136 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.402 ; +; 1.136 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.402 ; +; 1.137 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.404 ; +; 1.137 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.404 ; +; 1.137 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.403 ; +; 1.138 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.404 ; +; 1.143 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.410 ; +; 1.147 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.414 ; +; 1.148 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.415 ; ; 1.149 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.415 ; -; 1.150 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.416 ; -; 1.150 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.415 ; -; 1.153 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.419 ; -; 1.155 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.421 ; -; 1.156 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.422 ; -; 1.156 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.422 ; +; 1.149 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.415 ; +; 1.157 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.423 ; ; 1.157 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.423 ; -; 1.157 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.422 ; -; 1.158 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.424 ; ; 1.158 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.424 ; -; 1.159 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.425 ; -; 1.161 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.427 ; -; 1.163 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.428 ; -; 1.165 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.430 ; -; 1.169 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.435 ; -; 1.172 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.437 ; +; 1.158 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.425 ; +; 1.159 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.425 ; +; 1.161 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.428 ; +; 1.161 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.427 ; +; 1.161 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.428 ; +; 1.162 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.429 ; +; 1.162 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.428 ; +; 1.163 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.430 ; +; 1.163 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.429 ; +; 1.164 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.430 ; +; 1.172 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.438 ; ; 1.173 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.439 ; -; 1.248 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.513 ; -; 1.248 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.514 ; -; 1.248 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.515 ; -; 1.249 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.515 ; -; 1.250 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.516 ; -; 1.251 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.517 ; -; 1.251 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.517 ; -; 1.260 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.525 ; -; 1.266 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.070 ; 1.531 ; -; 1.266 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.532 ; -; 1.268 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.534 ; +; 1.174 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.440 ; +; 1.176 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.443 ; +; 1.246 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.513 ; +; 1.247 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.513 ; +; 1.258 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.524 ; +; 1.259 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.525 ; +; 1.259 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.072 ; 1.526 ; +; 1.260 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.526 ; +; 1.260 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.526 ; +; 1.263 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.529 ; +; 1.266 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.071 ; 1.532 ; +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ @@ -3909,214 +3801,322 @@ No paths to report. +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.403 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.669 ; +; 0.403 ; ad9866:ad9866_inst|dut2_bitcount[3] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.669 ; ; 0.403 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.669 ; -; 0.404 ; ad9866:ad9866_inst|dut2_bitcount[3] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 0.669 ; -; 0.404 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 0.669 ; -; 0.419 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 0.684 ; -; 0.600 ; ad9866:ad9866_inst|dut2_data[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.866 ; -; 0.600 ; ad9866:ad9866_inst|dut2_data[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.866 ; -; 0.600 ; ad9866:ad9866_inst|dut2_data[6] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.866 ; -; 0.600 ; ad9866:ad9866_inst|dut2_data[7] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.866 ; -; 0.600 ; ad9866:ad9866_inst|dut2_data[12] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.866 ; -; 0.600 ; ad9866:ad9866_inst|dut2_data[14] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.866 ; -; 0.601 ; ad9866:ad9866_inst|dut2_data[9] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.867 ; -; 0.601 ; ad9866:ad9866_inst|dut2_data[13] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.867 ; -; 0.602 ; ad9866:ad9866_inst|dut2_data[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.868 ; -; 0.602 ; ad9866:ad9866_inst|dut2_data[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.868 ; -; 0.603 ; ad9866:ad9866_inst|dut2_data[11] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.869 ; -; 0.636 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 0.901 ; -; 0.685 ; counter[11] ; counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.951 ; +; 0.418 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.684 ; +; 0.472 ; ad9866:ad9866_inst|dut2_data[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.738 ; +; 0.472 ; ad9866:ad9866_inst|dut2_data[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.738 ; +; 0.473 ; ad9866:ad9866_inst|dut2_data[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.739 ; +; 0.474 ; ad9866:ad9866_inst|dut2_data[0] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.740 ; +; 0.624 ; ad9866:ad9866_inst|dut2_data[6] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.891 ; +; 0.629 ; ad9866:ad9866_inst|dut2_data[9] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 0.894 ; ; 0.685 ; counter[9] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.951 ; +; 0.685 ; counter[11] ; counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.951 ; ; 0.685 ; counter[1] ; counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.951 ; -; 0.685 ; counter[17] ; counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.952 ; -; 0.685 ; counter[15] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.952 ; -; 0.686 ; counter[13] ; counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.953 ; ; 0.686 ; counter[7] ; counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.952 ; -; 0.688 ; counter[5] ; counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.954 ; -; 0.688 ; counter[3] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.954 ; +; 0.686 ; counter[17] ; counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.952 ; +; 0.686 ; counter[15] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.952 ; +; 0.687 ; counter[13] ; counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.953 ; ; 0.688 ; counter[2] ; counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.954 ; -; 0.688 ; counter[18] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.955 ; -; 0.689 ; counter[12] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.956 ; -; 0.689 ; ad9866:ad9866_inst|dut2_data[10] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.955 ; -; 0.689 ; counter[21] ; counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.956 ; -; 0.689 ; counter[19] ; counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.956 ; +; 0.688 ; counter[3] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.954 ; +; 0.688 ; counter[5] ; counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.954 ; +; 0.689 ; ad9866:ad9866_inst|dut2_data[8] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.955 ; +; 0.689 ; counter[18] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.955 ; ; 0.690 ; counter[10] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.956 ; -; 0.690 ; ad9866:ad9866_inst|dut2_data[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.956 ; -; 0.690 ; counter[14] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.957 ; -; 0.691 ; counter[8] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.957 ; +; 0.690 ; counter[12] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.956 ; +; 0.690 ; counter[21] ; counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.956 ; +; 0.690 ; counter[19] ; counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.956 ; ; 0.691 ; counter[6] ; counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.957 ; -; 0.691 ; counter[16] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.958 ; +; 0.691 ; counter[8] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.957 ; +; 0.691 ; ad9866:ad9866_inst|dut2_data[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.957 ; +; 0.691 ; counter[14] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.957 ; ; 0.692 ; counter[4] ; counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.958 ; -; 0.692 ; counter[22] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.959 ; -; 0.692 ; counter[20] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.959 ; -; 0.693 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.959 ; +; 0.692 ; ad9866:ad9866_inst|dut2_data[11] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.958 ; +; 0.692 ; counter[16] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.958 ; ; 0.693 ; reset_handler:reset_handler_inst|reset_counter[10] ; reset_handler:reset_handler_inst|reset_counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.959 ; -; 0.694 ; reset_handler:reset_handler_inst|reset_counter[9] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.960 ; +; 0.693 ; reset_handler:reset_handler_inst|reset_counter[9] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.959 ; +; 0.693 ; ad9866:ad9866_inst|dut2_data[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.959 ; +; 0.693 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.959 ; +; 0.693 ; counter[22] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.959 ; +; 0.693 ; counter[20] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.959 ; +; 0.694 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.960 ; +; 0.694 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.960 ; +; 0.694 ; ad9866:ad9866_inst|dut2_data[10] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.960 ; ; 0.694 ; reset_handler:reset_handler_inst|reset_counter[7] ; reset_handler:reset_handler_inst|reset_counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.960 ; ; 0.694 ; reset_handler:reset_handler_inst|reset_counter[11] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.960 ; -; 0.695 ; reset_handler:reset_handler_inst|reset_counter[17] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; reset_handler:reset_handler_inst|reset_counter[6] ; reset_handler:reset_handler_inst|reset_counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; reset_handler:reset_handler_inst|reset_counter[15] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; ; 0.695 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; ad9866:ad9866_inst|dut2_data[7] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; ad9866:ad9866_inst|dut2_data[12] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; ad9866:ad9866_inst|dut2_data[13] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.961 ; ; 0.696 ; reset_handler:reset_handler_inst|reset_counter[16] ; reset_handler:reset_handler_inst|reset_counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.962 ; -; 0.696 ; reset_handler:reset_handler_inst|reset_counter[15] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.962 ; -; 0.696 ; reset_handler:reset_handler_inst|reset_counter[6] ; reset_handler:reset_handler_inst|reset_counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.962 ; -; 0.698 ; reset_handler:reset_handler_inst|reset_counter[5] ; reset_handler:reset_handler_inst|reset_counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.964 ; -; 0.698 ; reset_handler:reset_handler_inst|reset_counter[20] ; reset_handler:reset_handler_inst|reset_counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.964 ; +; 0.696 ; reset_handler:reset_handler_inst|reset_counter[13] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.962 ; +; 0.697 ; reset_handler:reset_handler_inst|reset_counter[17] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.963 ; +; 0.697 ; reset_handler:reset_handler_inst|reset_counter[4] ; reset_handler:reset_handler_inst|reset_counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.963 ; ; 0.699 ; reset_handler:reset_handler_inst|reset_counter[23] ; reset_handler:reset_handler_inst|reset_counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.965 ; -; 0.700 ; reset_handler:reset_handler_inst|reset_counter[21] ; reset_handler:reset_handler_inst|reset_counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.966 ; -; 0.700 ; reset_handler:reset_handler_inst|reset_counter[3] ; reset_handler:reset_handler_inst|reset_counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.966 ; -; 0.700 ; reset_handler:reset_handler_inst|reset_counter[19] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.966 ; -; 0.709 ; counter[23] ; counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 0.976 ; -; 0.710 ; reset_handler:reset_handler_inst|reset_counter[4] ; reset_handler:reset_handler_inst|reset_counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.976 ; -; 0.711 ; reset_handler:reset_handler_inst|reset_counter[22] ; reset_handler:reset_handler_inst|reset_counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.977 ; +; 0.699 ; reset_handler:reset_handler_inst|reset_counter[3] ; reset_handler:reset_handler_inst|reset_counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.965 ; +; 0.701 ; reset_handler:reset_handler_inst|reset_counter[19] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.967 ; +; 0.710 ; counter[23] ; counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.976 ; ; 0.715 ; counter[0] ; counter[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.981 ; +; 0.717 ; reset_handler:reset_handler_inst|reset_counter[1] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.983 ; ; 0.718 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.984 ; -; 0.718 ; reset_handler:reset_handler_inst|reset_counter[1] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 0.984 ; -; 0.740 ; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.048 ; 0.983 ; -; 0.754 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 1.019 ; -; 0.755 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 1.020 ; -; 0.786 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 1.051 ; -; 0.802 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.048 ; 1.045 ; -; 0.804 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 1.069 ; -; 0.853 ; reset_handler:reset_handler_inst|reset_counter[13] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.119 ; -; 0.870 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.274 ; 1.339 ; -; 0.885 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.274 ; 1.354 ; -; 0.931 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.048 ; 1.174 ; -; 0.939 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 1.204 ; -; 0.981 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.274 ; 1.450 ; -; 0.992 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.274 ; 1.461 ; -; 0.996 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.274 ; 1.465 ; -; 0.997 ; counter[11] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.081 ; 1.273 ; -; 1.006 ; counter[12] ; counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.273 ; -; 1.007 ; counter[18] ; counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.274 ; -; 1.007 ; counter[15] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.274 ; -; 1.007 ; counter[17] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.274 ; -; 1.007 ; counter[10] ; counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.273 ; -; 1.007 ; counter[14] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.274 ; -; 1.007 ; counter[2] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.273 ; +; 0.734 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.505 ; 1.434 ; +; 0.739 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.005 ; +; 0.751 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.505 ; 1.451 ; +; 0.763 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.049 ; 1.007 ; +; 0.764 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.049 ; 1.008 ; +; 0.771 ; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.049 ; 1.015 ; +; 0.776 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.505 ; 1.476 ; +; 0.832 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.505 ; 1.532 ; +; 0.856 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.505 ; 1.556 ; +; 0.865 ; reset_handler:reset_handler_inst|reset_counter[5] ; reset_handler:reset_handler_inst|reset_counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.131 ; +; 0.866 ; reset_handler:reset_handler_inst|reset_counter[21] ; reset_handler:reset_handler_inst|reset_counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.132 ; +; 0.877 ; reset_handler:reset_handler_inst|reset_counter[20] ; reset_handler:reset_handler_inst|reset_counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.143 ; +; 0.878 ; reset_handler:reset_handler_inst|reset_counter[22] ; reset_handler:reset_handler_inst|reset_counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.144 ; +; 0.879 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.145 ; +; 0.889 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.156 ; +; 0.898 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.505 ; 1.598 ; +; 0.900 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.167 ; +; 0.906 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.172 ; +; 0.922 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|sen_n ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.579 ; 1.696 ; +; 0.923 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.189 ; +; 0.924 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.190 ; +; 0.929 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.070 ; 1.194 ; ; 1.007 ; counter[1] ; counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.273 ; +; 1.007 ; counter[10] ; counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.273 ; +; 1.007 ; counter[12] ; counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.273 ; ; 1.007 ; counter[9] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.273 ; -; 1.008 ; counter[0] ; counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; -; 1.008 ; counter[6] ; counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; -; 1.008 ; counter[16] ; counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.275 ; -; 1.008 ; counter[8] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.007 ; counter[2] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.273 ; ; 1.008 ; counter[7] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.008 ; counter[17] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.008 ; counter[8] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.008 ; counter[15] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.008 ; counter[14] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.008 ; counter[0] ; counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.008 ; counter[18] ; counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.008 ; counter[6] ; counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.274 ; +; 1.009 ; counter[16] ; counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.275 ; +; 1.009 ; counter[11] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.069 ; 1.273 ; ; 1.009 ; counter[4] ; counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.275 ; -; 1.009 ; counter[22] ; counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.276 ; -; 1.009 ; counter[20] ; counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.276 ; -; 1.010 ; counter[13] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.072 ; 1.277 ; -; 1.012 ; counter[5] ; counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.278 ; -; 1.012 ; counter[3] ; counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.071 ; 1.278 ; +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' ; ++-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; 0.404 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0011 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.669 ; +; 0.404 ; profile:profile_CW|enable_hang ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.669 ; +; 0.404 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.669 ; +; 0.404 ; profile:profile_CW|char_PTT ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.669 ; +; 0.404 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.669 ; +; 0.404 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.669 ; +; 0.405 ; profile:profile_CW|hang_PTT ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.669 ; +; 0.405 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.669 ; +; 0.458 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.722 ; +; 0.468 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.733 ; +; 0.485 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.750 ; +; 0.669 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.308 ; +; 0.680 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.319 ; +; 0.691 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.408 ; 1.329 ; +; 0.692 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.957 ; +; 0.693 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.959 ; +; 0.694 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.960 ; +; 0.695 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; +; 0.695 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.961 ; +; 0.696 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.962 ; +; 0.696 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.962 ; +; 0.696 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.962 ; +; 0.696 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.960 ; +; 0.696 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.960 ; +; 0.697 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.963 ; +; 0.697 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.961 ; +; 0.697 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.961 ; +; 0.698 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.961 ; +; 0.698 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.964 ; +; 0.698 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.961 ; +; 0.698 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.961 ; +; 0.698 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.962 ; +; 0.698 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.962 ; +; 0.699 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.962 ; +; 0.699 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.962 ; +; 0.700 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.963 ; +; 0.707 ; profile:profile_CW|timer[17] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.973 ; +; 0.708 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.974 ; +; 0.709 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.975 ; +; 0.710 ; profile:profile_CW|hang_timer[8] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.974 ; +; 0.711 ; profile:profile_CW|hang_timer[17] ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.974 ; +; 0.712 ; profile:profile_CW|hang_timer[16] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.975 ; +; 0.713 ; profile:profile_CW|hang_timer[14] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 0.976 ; +; 0.714 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.408 ; 1.352 ; +; 0.723 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.989 ; +; 0.725 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.989 ; +; 0.735 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.408 ; 1.373 ; +; 0.735 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.374 ; +; 0.741 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.380 ; +; 0.745 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.384 ; +; 0.751 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.408 ; 1.389 ; +; 0.758 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.397 ; +; 0.762 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 1.027 ; +; 0.771 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.408 ; 1.409 ; +; 0.779 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 1.044 ; +; 0.781 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 1.046 ; +; 0.853 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.119 ; +; 0.866 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.132 ; +; 0.907 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.171 ; +; 0.920 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.559 ; +; 0.983 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.408 ; 1.621 ; +; 0.986 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 1.251 ; +; 0.986 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.625 ; +; 0.987 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.408 ; 1.625 ; +; 1.014 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.280 ; +; 1.014 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.280 ; +; 1.014 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.653 ; +; 1.015 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.281 ; +; 1.016 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.280 ; +; 1.016 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.282 ; +; 1.016 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.280 ; +; 1.016 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.282 ; +; 1.017 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.283 ; +; 1.017 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.280 ; +; 1.017 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.283 ; +; 1.017 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.409 ; 1.656 ; +; 1.018 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.284 ; +; 1.019 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.285 ; +; 1.019 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.285 ; +; 1.019 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.285 ; +; 1.019 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.282 ; +; 1.020 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.286 ; +; 1.020 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.286 ; +; 1.020 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.284 ; +; 1.020 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.284 ; +; 1.020 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.284 ; +; 1.021 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.284 ; +; 1.022 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.286 ; +; 1.022 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.286 ; +; 1.022 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.285 ; +; 1.022 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.285 ; +; 1.023 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 1.286 ; +; 1.028 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.294 ; +; 1.029 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.295 ; +; 1.029 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.295 ; +; 1.029 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 1.293 ; +; 1.030 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 1.296 ; ++-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ + + +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'spi_ce1' ; +; Slow 1200mV 0C Model Hold: 'spi_ce0' ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; -; 0.423 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.669 ; -; 0.424 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.050 ; 0.669 ; -; 0.425 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.049 ; 0.669 ; -; 0.472 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.048 ; 0.715 ; -; 0.510 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.756 ; -; 0.510 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.050 ; 0.755 ; -; 0.514 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.760 ; -; 0.517 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.763 ; -; 0.603 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.048 ; 0.846 ; -; 0.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.927 ; -; 0.684 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 0.930 ; -; 0.711 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.145 ; 0.761 ; -; 0.714 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.252 ; 1.161 ; -; 0.715 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.048 ; 0.958 ; -; 0.742 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.021 ; 0.916 ; -; 0.759 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.005 ; -; 0.759 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.161 ; 1.150 ; -; 0.767 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.335 ; 1.297 ; -; 0.768 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.021 ; 0.942 ; -; 0.777 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.214 ; 1.186 ; -; 0.821 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.067 ; -; 0.881 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.145 ; 0.931 ; -; 0.883 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.122 ; -; 0.889 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.128 ; -; 0.904 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.143 ; -; 0.919 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.158 ; -; 0.933 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.172 ; -; 0.940 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.145 ; 0.990 ; -; 0.946 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.218 ; 1.394 ; -; 0.954 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.145 ; 1.004 ; -; 0.973 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.224 ; 1.427 ; -; 0.994 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.021 ; 1.168 ; -; 0.996 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.252 ; 1.443 ; -; 1.005 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.335 ; 1.535 ; -; 1.023 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.029 ; 1.189 ; -; 1.069 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.252 ; 1.516 ; -; 1.071 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.317 ; -; 1.084 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.145 ; 1.134 ; -; 1.085 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.381 ; -; 1.095 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.145 ; 1.145 ; -; 1.097 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 1.399 ; -; 1.098 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.112 ; 1.181 ; -; 1.106 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 1.408 ; -; 1.112 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 1.414 ; -; 1.114 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.335 ; 1.644 ; -; 1.120 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.366 ; -; 1.121 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.367 ; -; 1.124 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.370 ; -; 1.126 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.422 ; -; 1.130 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 1.432 ; -; 1.131 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.427 ; -; 1.138 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.434 ; -; 1.148 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.007 ; 1.350 ; -; 1.180 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.426 ; -; 1.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.024 ; 1.417 ; -; 1.227 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.216 ; 1.638 ; -; 1.227 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.007 ; 1.429 ; -; 1.259 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.177 ; 1.631 ; -; 1.288 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.145 ; 1.338 ; -; 1.304 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 1.606 ; -; 1.306 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.602 ; -; 1.311 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.112 ; 1.394 ; -; 1.354 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.216 ; 1.765 ; -; 1.355 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.112 ; 1.438 ; -; 1.359 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.605 ; -; 1.368 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.664 ; -; 1.374 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.620 ; -; 1.381 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.335 ; 1.911 ; -; 1.401 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.112 ; 1.484 ; -; 1.417 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.663 ; -; 1.432 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.678 ; -; 1.436 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.682 ; -; 1.438 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.009 ; 1.677 ; -; 1.440 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.686 ; -; 1.453 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.699 ; -; 1.456 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.058 ; 1.593 ; -; 1.461 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.216 ; 1.872 ; -; 1.518 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.252 ; 1.965 ; -; 1.524 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 1.826 ; -; 1.537 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.252 ; 1.984 ; -; 1.549 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.188 ; 1.967 ; -; 1.570 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.216 ; 1.981 ; -; 1.633 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.879 ; -; 1.691 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.937 ; -; 1.691 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 1.937 ; -; 1.692 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.335 ; 2.222 ; -; 1.696 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.335 ; 2.226 ; -; 1.728 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 2.030 ; -; 1.757 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 2.003 ; -; 1.758 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 2.004 ; -; 1.817 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.072 ; 2.119 ; -; 1.901 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.182 ; 2.313 ; -; 1.949 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.051 ; 2.195 ; -; 2.003 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.125 ; 2.358 ; +; 0.407 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.136 ; 0.738 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; +; 0.423 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 0.669 ; +; 0.425 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.425 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.669 ; +; 0.426 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.669 ; +; 0.426 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.669 ; +; 0.428 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; +; 0.428 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; +; 0.428 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; +; 0.428 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.669 ; +; 0.441 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.726 ; +; 0.457 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.742 ; +; 0.473 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.716 ; +; 0.481 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.766 ; +; 0.484 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.080 ; 0.759 ; +; 0.484 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.080 ; 0.759 ; +; 0.485 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.080 ; 0.760 ; +; 0.495 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.738 ; +; 0.503 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.747 ; +; 0.514 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.065 ; 0.774 ; +; 0.519 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.022 ; 0.736 ; +; 0.536 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.366 ; 1.132 ; +; 0.546 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.366 ; 1.142 ; +; 0.553 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.366 ; 1.149 ; +; 0.561 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.366 ; 1.157 ; +; 0.561 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.369 ; 1.160 ; +; 0.571 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.856 ; +; 0.579 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.370 ; 1.179 ; +; 0.591 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.136 ; 0.922 ; +; 0.603 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.136 ; 0.934 ; +; 0.603 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.846 ; +; 0.606 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.136 ; 0.937 ; +; 0.610 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.065 ; 0.870 ; +; 0.612 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.284 ; 1.126 ; +; 0.625 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.290 ; 1.145 ; +; 0.632 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.875 ; +; 0.634 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.877 ; +; 0.636 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.921 ; +; 0.642 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.302 ; 1.174 ; +; 0.644 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.929 ; +; 0.646 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.931 ; +; 0.647 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.890 ; +; 0.651 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.024 ; 0.870 ; +; 0.652 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.895 ; +; 0.661 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.080 ; 0.936 ; +; 0.662 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.905 ; +; 0.672 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.290 ; 1.192 ; +; 0.676 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.920 ; +; 0.677 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.921 ; +; 0.678 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.065 ; 0.938 ; +; 0.682 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.495 ; 1.407 ; +; 0.696 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.981 ; +; 0.701 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.495 ; 1.426 ; +; 0.706 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 0.991 ; +; 0.712 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.955 ; +; 0.717 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.024 ; 0.936 ; +; 0.717 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.097 ; 1.009 ; +; 0.721 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.919 ; +; 0.729 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.065 ; 0.989 ; +; 0.732 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.194 ; 1.121 ; +; 0.733 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.090 ; 1.018 ; +; 0.735 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.097 ; 1.027 ; +; 0.736 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.024 ; 0.955 ; +; 0.739 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.937 ; +; 0.740 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.048 ; 0.983 ; +; 0.745 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.495 ; 1.470 ; +; 0.748 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 0.992 ; +; 0.766 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 1.010 ; +; 0.768 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 1.012 ; +; 0.768 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 1.009 ; +; 0.772 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.024 ; 0.991 ; +; 0.773 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 1.017 ; +; 0.774 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 1.018 ; +; 0.774 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 1.015 ; +; 0.777 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 1.023 ; +; 0.777 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 1.018 ; +; 0.785 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.267 ; 1.282 ; +; 0.790 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.051 ; 1.036 ; +; 0.793 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.243 ; 0.745 ; +; 0.795 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.243 ; 0.747 ; +; 0.796 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.024 ; 1.015 ; +; 0.800 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.395 ; 1.425 ; +; 0.802 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.049 ; 1.046 ; +; 0.818 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.267 ; 1.315 ; +; 0.819 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.262 ; 1.311 ; +; 0.831 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.398 ; 1.459 ; +; 0.839 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.343 ; 1.412 ; +-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -4125,106 +4125,106 @@ No paths to report. +-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.437 ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_sck ; spi_sck ; 0.000 ; 0.114 ; 0.746 ; -; 0.437 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; 0.114 ; 0.746 ; -; 0.448 ; spi_slave:spi_slave_rx2_inst|rreg[0] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_sck ; spi_sck ; 0.000 ; 0.102 ; 0.745 ; -; 0.448 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.102 ; 0.745 ; -; 0.450 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_sck ; spi_sck ; 0.000 ; 0.102 ; 0.747 ; -; 0.450 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; 0.114 ; 0.759 ; -; 0.460 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.759 ; -; 0.462 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.761 ; -; 0.463 ; spi_slave:spi_slave_rx_inst|rreg[13] ; spi_slave:spi_slave_rx_inst|rdata[14] ; spi_sck ; spi_sck ; 0.000 ; 0.103 ; 0.761 ; -; 0.464 ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_slave:spi_slave_rx_inst|rdata[16] ; spi_sck ; spi_sck ; 0.000 ; 0.103 ; 0.762 ; -; 0.472 ; spi_slave:spi_slave_rx_inst|treg[7] ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.716 ; -; 0.472 ; spi_slave:spi_slave_rx2_inst|treg[7] ; spi_slave:spi_slave_rx2_inst|treg[8] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.716 ; -; 0.494 ; spi_slave:spi_slave_rx_inst|treg[5] ; spi_slave:spi_slave_rx_inst|treg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.738 ; -; 0.494 ; spi_slave:spi_slave_rx_inst|rreg[37] ; spi_slave:spi_slave_rx_inst|rreg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.739 ; -; 0.494 ; spi_slave:spi_slave_rx2_inst|treg[0] ; spi_slave:spi_slave_rx2_inst|treg[1] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.738 ; -; 0.494 ; spi_slave:spi_slave_rx2_inst|treg[3] ; spi_slave:spi_slave_rx2_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.738 ; -; 0.497 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.743 ; -; 0.498 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.744 ; -; 0.498 ; spi_slave:spi_slave_rx2_inst|rreg[5] ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.743 ; -; 0.498 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.743 ; -; 0.499 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.744 ; -; 0.499 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.745 ; -; 0.499 ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.745 ; -; 0.499 ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_slave:spi_slave_rx2_inst|rreg[7] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.744 ; -; 0.499 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rreg[19] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.745 ; -; 0.500 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.746 ; -; 0.500 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.745 ; -; 0.500 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.745 ; -; 0.501 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.746 ; -; 0.502 ; spi_slave:spi_slave_rx_inst|rreg[5] ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.744 ; -; 0.502 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.744 ; -; 0.504 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.046 ; 0.745 ; -; 0.504 ; spi_slave:spi_slave_rx2_inst|rreg[0] ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_sck ; spi_sck ; 0.000 ; 0.046 ; 0.745 ; -; 0.505 ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_slave:spi_slave_rx_inst|rreg[7] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.747 ; -; 0.505 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.046 ; 0.746 ; -; 0.514 ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.759 ; -; 0.515 ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_slave:spi_slave_rx_inst|rreg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.760 ; -; 0.515 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.760 ; -; 0.516 ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_slave:spi_slave_rx_inst|rreg[29] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.761 ; -; 0.517 ; spi_slave:spi_slave_rx_inst|rreg[29] ; spi_slave:spi_slave_rx_inst|rreg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.182 ; 0.894 ; -; 0.540 ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_slave:spi_slave_rx_inst|rdata[7] ; spi_sck ; spi_sck ; 0.000 ; 0.010 ; 0.745 ; -; 0.540 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; 0.010 ; 0.745 ; -; 0.541 ; spi_slave:spi_slave_rx_inst|rreg[5] ; spi_slave:spi_slave_rx_inst|rdata[6] ; spi_sck ; spi_sck ; 0.000 ; 0.010 ; 0.746 ; -; 0.556 ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_sck ; spi_sck ; 0.000 ; 0.010 ; 0.761 ; -; 0.564 ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_sck ; spi_sck ; 0.000 ; -0.020 ; 0.739 ; -; 0.569 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rdata[40] ; spi_sck ; spi_sck ; 0.000 ; -0.020 ; 0.744 ; -; 0.570 ; spi_slave:spi_slave_rx_inst|rreg[14] ; spi_slave:spi_slave_rx_inst|rdata[15] ; spi_sck ; spi_sck ; 0.000 ; 0.119 ; 0.884 ; -; 0.584 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; -0.035 ; 0.744 ; -; 0.585 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rdata[19] ; spi_sck ; spi_sck ; 0.000 ; -0.035 ; 0.745 ; -; 0.586 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rdata[23] ; spi_sck ; spi_sck ; 0.000 ; -0.035 ; 0.746 ; -; 0.588 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rdata[22] ; spi_sck ; spi_sck ; 0.000 ; -0.035 ; 0.748 ; -; 0.600 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; -0.035 ; 0.760 ; -; 0.609 ; spi_slave:spi_slave_rx2_inst|rreg[5] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_sck ; spi_sck ; 0.000 ; -0.059 ; 0.745 ; -; 0.610 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; -0.059 ; 0.746 ; -; 0.611 ; spi_slave:spi_slave_rx2_inst|rreg[11] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_sck ; spi_sck ; 0.000 ; 0.114 ; 0.920 ; -; 0.611 ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_sck ; spi_sck ; 0.000 ; -0.059 ; 0.747 ; -; 0.612 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_sck ; spi_sck ; 0.000 ; 0.114 ; 0.921 ; -; 0.613 ; spi_slave:spi_slave_rx2_inst|rreg[10] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_sck ; spi_sck ; 0.000 ; 0.114 ; 0.922 ; -; 0.614 ; spi_slave:spi_slave_rx2_inst|rreg[12] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_sck ; spi_sck ; 0.000 ; 0.114 ; 0.923 ; -; 0.614 ; spi_slave:spi_slave_rx_inst|rreg[14] ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.076 ; 0.885 ; -; 0.621 ; spi_slave:spi_slave_rx_inst|treg[14] ; spi_slave:spi_slave_rx_inst|treg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.865 ; -; 0.621 ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.865 ; -; 0.621 ; spi_slave:spi_slave_rx_inst|rreg[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_sck ; spi_sck ; 0.000 ; 0.103 ; 0.919 ; -; 0.621 ; spi_slave:spi_slave_rx_inst|rreg[11] ; spi_slave:spi_slave_rx_inst|rdata[12] ; spi_sck ; spi_sck ; 0.000 ; 0.103 ; 0.919 ; -; 0.621 ; spi_slave:spi_slave_rx2_inst|treg[10] ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.865 ; -; 0.622 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.921 ; -; 0.622 ; spi_slave:spi_slave_rx_inst|treg[11] ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.866 ; -; 0.622 ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.866 ; -; 0.622 ; spi_slave:spi_slave_rx_inst|treg[40] ; spi_slave:spi_slave_rx_inst|treg[41] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.865 ; -; 0.622 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.103 ; 0.920 ; -; 0.622 ; spi_slave:spi_slave_rx2_inst|treg[12] ; spi_slave:spi_slave_rx2_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.866 ; -; 0.622 ; spi_slave:spi_slave_rx2_inst|treg[14] ; spi_slave:spi_slave_rx2_inst|treg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.866 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.922 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[8] ; spi_slave:spi_slave_rx2_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_slave:spi_slave_rx2_inst|treg[12] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[13] ; spi_slave:spi_slave_rx2_inst|treg[14] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_slave:spi_slave_rx_inst|treg[10] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[45] ; spi_slave:spi_slave_rx2_inst|treg[46] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_slave:spi_slave_rx2_inst|treg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.623 ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_slave:spi_slave_rx_inst|treg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.866 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[19] ; spi_slave:spi_slave_rx2_inst|treg[20] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; -; 0.624 ; spi_slave:spi_slave_rx_inst|treg[25] ; spi_slave:spi_slave_rx_inst|treg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.866 ; -; 0.624 ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_slave:spi_slave_rx_inst|treg[14] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.868 ; -; 0.624 ; spi_slave:spi_slave_rx2_inst|treg[16] ; spi_slave:spi_slave_rx2_inst|treg[17] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.868 ; -; 0.624 ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_slave:spi_slave_rx2_inst|treg[44] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.868 ; -; 0.624 ; spi_slave:spi_slave_rx_inst|treg[32] ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.867 ; -; 0.624 ; spi_slave:spi_slave_rx2_inst|rreg[23] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; -0.059 ; 0.760 ; -; 0.624 ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.868 ; -; 0.624 ; spi_slave:spi_slave_rx_inst|rreg[12] ; spi_slave:spi_slave_rx_inst|rdata[13] ; spi_sck ; spi_sck ; 0.000 ; 0.103 ; 0.922 ; -; 0.625 ; spi_slave:spi_slave_rx_inst|treg[26] ; spi_slave:spi_slave_rx_inst|treg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.867 ; -; 0.625 ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.102 ; 0.922 ; -; 0.625 ; spi_slave:spi_slave_rx_inst|treg[39] ; spi_slave:spi_slave_rx_inst|treg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.868 ; -; 0.625 ; spi_slave:spi_slave_rx_inst|treg[36] ; spi_slave:spi_slave_rx_inst|treg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.868 ; -; 0.626 ; spi_slave:spi_slave_rx_inst|treg[41] ; spi_slave:spi_slave_rx_inst|treg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.869 ; +; 0.410 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.138 ; 0.743 ; +; 0.411 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_sck ; spi_sck ; 0.000 ; 0.138 ; 0.744 ; +; 0.412 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_sck ; spi_sck ; 0.000 ; 0.138 ; 0.745 ; +; 0.413 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; 0.138 ; 0.746 ; +; 0.413 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_sck ; spi_sck ; 0.000 ; 0.138 ; 0.746 ; +; 0.432 ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_sck ; spi_sck ; 0.000 ; 0.116 ; 0.743 ; +; 0.433 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.743 ; +; 0.437 ; spi_slave:spi_slave_rx_inst|rreg[33] ; spi_slave:spi_slave_rx_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.747 ; +; 0.438 ; spi_slave:spi_slave_rx2_inst|rreg[46] ; spi_slave:spi_slave_rx2_inst|rdata[47] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.737 ; +; 0.442 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.745 ; +; 0.442 ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.745 ; +; 0.444 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.747 ; +; 0.445 ; spi_slave:spi_slave_rx2_inst|rreg[40] ; spi_slave:spi_slave_rx2_inst|rdata[41] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.744 ; +; 0.446 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.745 ; +; 0.447 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.746 ; +; 0.447 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.746 ; +; 0.448 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.747 ; +; 0.448 ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_slave:spi_slave_rx2_inst|rdata[42] ; spi_sck ; spi_sck ; 0.000 ; 0.104 ; 0.747 ; +; 0.494 ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.737 ; +; 0.494 ; spi_slave:spi_slave_rx2_inst|treg[41] ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.738 ; +; 0.495 ; spi_slave:spi_slave_rx_inst|treg[20] ; spi_slave:spi_slave_rx_inst|treg[21] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.738 ; +; 0.495 ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.739 ; +; 0.495 ; spi_slave:spi_slave_rx_inst|treg[29] ; spi_slave:spi_slave_rx_inst|treg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.738 ; +; 0.495 ; spi_slave:spi_slave_rx2_inst|treg[3] ; spi_slave:spi_slave_rx2_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.738 ; +; 0.495 ; spi_slave:spi_slave_rx2_inst|treg[5] ; spi_slave:spi_slave_rx2_inst|treg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.738 ; +; 0.496 ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_slave:spi_slave_rx_inst|treg[10] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.738 ; +; 0.496 ; spi_slave:spi_slave_rx_inst|treg[2] ; spi_slave:spi_slave_rx_inst|treg[3] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.738 ; +; 0.497 ; spi_slave:spi_slave_rx_inst|treg[24] ; spi_slave:spi_slave_rx_inst|treg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.740 ; +; 0.497 ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.739 ; +; 0.497 ; spi_slave:spi_slave_rx_inst|treg[4] ; spi_slave:spi_slave_rx_inst|treg[5] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.739 ; +; 0.497 ; spi_slave:spi_slave_rx_inst|treg[3] ; spi_slave:spi_slave_rx_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.739 ; +; 0.497 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rreg[46] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.743 ; +; 0.499 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.744 ; +; 0.499 ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_slave:spi_slave_rx2_inst|rreg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.744 ; +; 0.499 ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.744 ; +; 0.499 ; spi_slave:spi_slave_rx_inst|rreg[33] ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.052 ; 0.746 ; +; 0.499 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.745 ; +; 0.499 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.745 ; +; 0.499 ; spi_slave:spi_slave_rx2_inst|rreg[40] ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.745 ; +; 0.499 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.744 ; +; 0.500 ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.745 ; +; 0.500 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.745 ; +; 0.500 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.745 ; +; 0.500 ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.746 ; +; 0.500 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.746 ; +; 0.500 ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.746 ; +; 0.500 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.052 ; 0.747 ; +; 0.501 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.746 ; +; 0.501 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.746 ; +; 0.501 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.745 ; +; 0.502 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.747 ; +; 0.502 ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.746 ; +; 0.502 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.746 ; +; 0.505 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_sck ; spi_sck ; 0.000 ; 0.196 ; 0.896 ; +; 0.512 ; spi_slave:spi_slave_rx_inst|rreg[14] ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.758 ; +; 0.513 ; spi_slave:spi_slave_rx2_inst|rreg[27] ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.759 ; +; 0.513 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.759 ; +; 0.513 ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_slave:spi_slave_rx_inst|rreg[16] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.759 ; +; 0.513 ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_slave:spi_slave_rx2_inst|rreg[2] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.759 ; +; 0.514 ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.760 ; +; 0.514 ; spi_slave:spi_slave_rx2_inst|rreg[23] ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.760 ; +; 0.514 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.759 ; +; 0.515 ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.761 ; +; 0.515 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.760 ; +; 0.515 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.051 ; 0.761 ; +; 0.515 ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.052 ; 0.762 ; +; 0.517 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.761 ; +; 0.517 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rreg[19] ; spi_sck ; spi_sck ; 0.000 ; 0.050 ; 0.762 ; +; 0.519 ; spi_slave:spi_slave_rx_inst|rreg[10] ; spi_slave:spi_slave_rx_inst|rreg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.761 ; +; 0.522 ; spi_slave:spi_slave_rx2_inst|rreg[14] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.760 ; +; 0.568 ; spi_slave:spi_slave_rx2_inst|rreg[21] ; spi_slave:spi_slave_rx2_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.171 ; 0.934 ; +; 0.572 ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.744 ; +; 0.573 ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.745 ; +; 0.573 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.745 ; +; 0.574 ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.746 ; +; 0.574 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; -0.023 ; 0.746 ; +; 0.576 ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.893 ; +; 0.576 ; spi_slave:spi_slave_rx2_inst|rreg[22] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.893 ; +; 0.577 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_sck ; spi_sck ; 0.000 ; 0.116 ; 0.888 ; +; 0.580 ; spi_slave:spi_slave_rx2_inst|rreg[2] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.897 ; +; 0.594 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.911 ; +; 0.595 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.912 ; +; 0.595 ; spi_slave:spi_slave_rx2_inst|rreg[20] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_sck ; spi_sck ; 0.000 ; 0.132 ; 0.922 ; +; 0.599 ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.916 ; +; 0.600 ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.917 ; +; 0.600 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.910 ; +; 0.604 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_sck ; spi_sck ; 0.000 ; 0.122 ; 0.921 ; +; 0.609 ; spi_slave:spi_slave_rx2_inst|rreg[21] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_sck ; spi_sck ; 0.000 ; 0.132 ; 0.936 ; +; 0.610 ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.913 ; +; 0.612 ; spi_slave:spi_slave_rx_inst|treg[27] ; spi_slave:spi_slave_rx_inst|treg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.071 ; 0.878 ; +; 0.614 ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_slave:spi_slave_rx_inst|rdata[37] ; spi_sck ; spi_sck ; 0.000 ; 0.115 ; 0.924 ; +; 0.618 ; spi_slave:spi_slave_rx_inst|rreg[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_sck ; spi_sck ; 0.000 ; 0.108 ; 0.921 ; +; 0.622 ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_slave:spi_slave_rx2_inst|treg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.866 ; +; 0.622 ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.866 ; +; 0.622 ; spi_slave:spi_slave_rx2_inst|treg[10] ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.865 ; +; 0.623 ; spi_slave:spi_slave_rx2_inst|treg[37] ; spi_slave:spi_slave_rx2_inst|treg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.867 ; +; 0.623 ; spi_slave:spi_slave_rx_inst|treg[44] ; spi_slave:spi_slave_rx_inst|treg[45] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.865 ; +; 0.623 ; spi_slave:spi_slave_rx_inst|treg[34] ; spi_slave:spi_slave_rx_inst|treg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.866 ; +; 0.623 ; spi_slave:spi_slave_rx_inst|treg[32] ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.866 ; +; 0.624 ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.049 ; 0.868 ; +-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -4233,268 +4233,268 @@ No paths to report. +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -; 0.574 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 1.162 ; -; 0.655 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.939 ; 1.819 ; -; 0.731 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.918 ; 1.874 ; -; 0.742 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 1.871 ; -; 0.784 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.922 ; 1.931 ; -; 0.801 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.896 ; 1.922 ; -; 0.806 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 1.935 ; -; 0.809 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.885 ; 1.919 ; -; 0.810 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.391 ; -; 0.811 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 1.940 ; -; 0.812 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.885 ; 1.922 ; -; 0.821 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.896 ; 1.942 ; -; 0.823 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.437 ; -; 0.823 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.922 ; 1.970 ; -; 0.826 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.406 ; -; 0.835 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.416 ; -; 0.835 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.461 ; -; 0.836 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 1.424 ; -; 0.836 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.416 ; -; 0.837 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.463 ; -; 0.839 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 1.968 ; -; 0.840 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.421 ; -; 0.842 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.442 ; -; 0.842 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.468 ; -; 0.843 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.424 ; -; 0.846 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.472 ; -; 0.847 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.447 ; -; 0.847 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.461 ; -; 0.848 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.428 ; -; 0.851 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.431 ; -; 0.853 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.885 ; 1.963 ; -; 0.854 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.480 ; -; 0.854 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.480 ; -; 0.856 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.456 ; -; 0.856 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.470 ; -; 0.857 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.457 ; -; 0.858 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.472 ; -; 0.860 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.440 ; -; 0.860 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.807 ; 1.496 ; -; 0.861 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.442 ; -; 0.864 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.444 ; -; 0.865 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.491 ; -; 0.866 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.492 ; -; 0.866 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.446 ; -; 0.871 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.485 ; -; 0.871 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.471 ; -; 0.873 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.797 ; 1.499 ; -; 0.878 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.478 ; -; 0.878 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.459 ; -; 0.879 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.459 ; -; 0.893 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.751 ; 1.473 ; -; 0.895 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.495 ; -; 0.901 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 1.489 ; -; 0.901 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.515 ; -; 0.916 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.497 ; -; 0.950 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.922 ; 2.097 ; -; 0.976 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.649 ; 1.454 ; -; 0.977 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 2.106 ; -; 0.986 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.648 ; 1.463 ; -; 0.998 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.884 ; 2.107 ; -; 1.004 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.781 ; 2.010 ; -; 1.014 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.918 ; 2.157 ; -; 1.035 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.918 ; 2.178 ; -; 1.047 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 2.176 ; -; 1.050 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.649 ; 1.528 ; -; 1.066 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.885 ; 2.176 ; -; 1.068 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.807 ; 1.704 ; -; 1.084 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.752 ; 1.665 ; -; 1.121 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.918 ; 2.264 ; -; 1.122 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.771 ; 1.722 ; -; 1.124 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.806 ; 1.759 ; -; 1.132 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.885 ; 2.242 ; -; 1.133 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.789 ; 2.147 ; -; 1.136 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.819 ; 1.784 ; -; 1.137 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 1.725 ; -; 1.142 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.756 ; -; 1.144 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.789 ; 2.158 ; -; 1.162 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.939 ; 2.326 ; -; 1.168 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.782 ; -; 1.174 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.785 ; 1.788 ; -; 1.177 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.807 ; 1.813 ; -; 1.179 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 1.767 ; -; 1.200 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.781 ; 2.206 ; -; 1.220 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 1.808 ; -; 1.221 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.806 ; 1.856 ; -; 1.232 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.080 ; 1.377 ; -; 1.290 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.648 ; 1.767 ; -; 1.337 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 2.466 ; -; 1.353 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.930 ; 2.508 ; -; 1.355 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.939 ; 2.519 ; -; 1.360 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.807 ; 1.996 ; -; 1.365 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 1.953 ; -; 1.371 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.940 ; 2.536 ; -; 1.379 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.922 ; 2.526 ; -; 1.388 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.806 ; 2.023 ; -; 1.409 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.884 ; 2.518 ; -; 1.446 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.437 ; 1.234 ; -; 1.450 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.904 ; 2.579 ; -; 1.458 ; spi_slave:spi_slave_rx_inst|rdata[37] ; rx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.090 ; 1.593 ; -; 1.475 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.759 ; 2.063 ; +; 0.703 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.593 ; 1.125 ; +; 0.713 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.593 ; 1.135 ; +; 0.718 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.593 ; 1.140 ; +; 0.728 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.593 ; 1.150 ; +; 0.732 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.593 ; 1.154 ; +; 0.879 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.739 ; 1.843 ; +; 0.914 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.864 ; +; 0.941 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.739 ; 1.905 ; +; 0.955 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.743 ; 1.923 ; +; 0.963 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.714 ; 1.902 ; +; 0.996 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 1.948 ; +; 0.998 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.948 ; +; 0.998 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.591 ; 1.418 ; +; 1.005 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.591 ; 1.425 ; +; 1.006 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.714 ; 1.945 ; +; 1.009 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.424 ; +; 1.012 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.430 ; +; 1.012 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.430 ; +; 1.018 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.584 ; 1.431 ; +; 1.021 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.591 ; 1.441 ; +; 1.024 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.439 ; +; 1.024 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.605 ; 1.458 ; +; 1.025 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.443 ; +; 1.027 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.605 ; 1.461 ; +; 1.027 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.591 ; 1.447 ; +; 1.032 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.447 ; +; 1.033 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.584 ; 1.446 ; +; 1.034 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.452 ; +; 1.036 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.451 ; +; 1.036 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.451 ; +; 1.039 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.584 ; 1.452 ; +; 1.040 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.455 ; +; 1.040 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 1.990 ; +; 1.041 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.584 ; 1.454 ; +; 1.043 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.461 ; +; 1.044 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.462 ; +; 1.051 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.584 ; 1.464 ; +; 1.052 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.467 ; +; 1.054 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 2.006 ; +; 1.055 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.584 ; 1.468 ; +; 1.056 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.471 ; +; 1.058 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 2.008 ; +; 1.059 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.474 ; +; 1.059 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.477 ; +; 1.060 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.605 ; 1.494 ; +; 1.060 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.584 ; 1.473 ; +; 1.060 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.589 ; 1.478 ; +; 1.063 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.478 ; +; 1.066 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.605 ; 1.500 ; +; 1.081 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.496 ; +; 1.082 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 1.726 ; +; 1.103 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.605 ; 1.537 ; +; 1.137 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.724 ; 2.086 ; +; 1.144 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.739 ; 2.108 ; +; 1.152 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.739 ; 2.116 ; +; 1.156 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 2.108 ; +; 1.181 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.714 ; 2.120 ; +; 1.219 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.706 ; 2.150 ; +; 1.221 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.737 ; 2.183 ; +; 1.222 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.429 ; 1.876 ; +; 1.256 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.725 ; 2.206 ; +; 1.266 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.593 ; 1.688 ; +; 1.286 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.297 ; 1.412 ; +; 1.290 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.705 ; +; 1.306 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.294 ; 1.429 ; +; 1.306 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.718 ; 2.249 ; +; 1.307 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.297 ; 1.433 ; +; 1.308 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.603 ; 1.740 ; +; 1.321 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.435 ; 1.981 ; +; 1.322 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.591 ; 1.742 ; +; 1.330 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.294 ; 1.453 ; +; 1.331 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.294 ; 1.454 ; +; 1.333 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.603 ; 1.765 ; +; 1.334 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.294 ; 1.457 ; +; 1.337 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 2.291 ; +; 1.339 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.603 ; 1.771 ; +; 1.339 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.754 ; +; 1.340 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.598 ; 1.767 ; +; 1.346 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.761 ; +; 1.360 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.297 ; 1.486 ; +; 1.360 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.603 ; 1.792 ; +; 1.381 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.294 ; 1.504 ; +; 1.396 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 2.348 ; +; 1.403 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.601 ; 1.833 ; +; 1.405 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.435 ; 2.065 ; +; 1.415 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 2.367 ; +; 1.429 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.745 ; 2.399 ; +; 1.446 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.433 ; 2.104 ; +; 1.446 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 2.400 ; +; 1.465 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.718 ; 2.408 ; +; 1.488 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.652 ; 1.061 ; +; 1.528 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.729 ; 2.482 ; +; 1.544 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.297 ; 1.670 ; +; 1.576 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.586 ; 1.991 ; +; 1.610 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.297 ; 1.736 ; +; 1.613 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.591 ; 2.033 ; +; 1.614 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.727 ; 2.566 ; +; 1.636 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.388 ; 1.473 ; +; 1.657 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.727 ; 1.155 ; +; 1.690 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.652 ; 1.263 ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; 1.219 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.453 ; 5.912 ; -; 1.265 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.452 ; 5.957 ; -; 1.465 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.312 ; 6.017 ; -; 1.485 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.313 ; 6.038 ; -; 1.557 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.313 ; 6.110 ; -; 1.675 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.262 ; 6.177 ; -; 1.479 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.314 ; 6.033 ; -; 1.502 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.442 ; 6.184 ; -; 1.939 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.451 ; 6.630 ; -; 1.968 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.259 ; 6.467 ; -; 1.513 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.315 ; 6.068 ; -; 1.339 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.248 ; 5.827 ; -; 1.840 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.257 ; 6.337 ; -; 5.922 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.313 ; 5.495 ; -; 5.968 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.259 ; 5.487 ; -; 6.016 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.314 ; 5.590 ; -; 6.045 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.442 ; 5.747 ; -; 6.094 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.315 ; 5.669 ; -; 6.128 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.312 ; 5.700 ; -; 6.160 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.262 ; 5.682 ; -; 6.174 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.313 ; 5.747 ; -; 6.072 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.248 ; 5.580 ; -; 6.235 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.453 ; 5.948 ; -; 6.270 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.451 ; 5.981 ; -; 6.308 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.452 ; 6.020 ; -; 6.439 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.257 ; 5.956 ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ - - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ -; 1.599 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.510 ; 0.918 ; -; 1.600 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.510 ; 0.919 ; -; 1.610 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.510 ; 0.929 ; -; 1.637 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.510 ; 0.956 ; -; 1.640 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.510 ; 0.959 ; -; 1.729 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 0.940 ; -; 1.733 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 0.928 ; -; 1.741 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 0.936 ; -; 1.745 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 0.956 ; -; 1.749 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 0.960 ; -; 1.757 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.647 ; 0.939 ; -; 1.758 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 0.969 ; -; 1.758 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 0.953 ; -; 1.763 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 0.958 ; -; 1.767 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.689 ; 0.907 ; -; 1.768 ; spi_slave:spi_slave_rx2_inst|rdata[35] ; keyer_weight[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.689 ; 0.908 ; -; 1.769 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.689 ; 0.909 ; -; 1.787 ; spi_slave:spi_slave_rx2_inst|rdata[37] ; keyer_weight[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.691 ; 0.925 ; -; 1.799 ; spi_slave:spi_slave_rx2_inst|rdata[36] ; keyer_weight[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.691 ; 0.937 ; -; 1.800 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.510 ; 1.119 ; -; 1.807 ; spi_slave:spi_slave_rx2_inst|rdata[45] ; cw_speed[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.611 ; 1.421 ; -; 1.817 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.609 ; 1.433 ; -; 1.821 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.689 ; 0.961 ; -; 1.829 ; spi_slave:spi_slave_rx2_inst|rdata[43] ; cw_speed[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.611 ; 1.443 ; -; 1.836 ; spi_slave:spi_slave_rx2_inst|rdata[42] ; cw_speed[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.611 ; 1.450 ; -; 1.861 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.510 ; 1.180 ; -; 1.896 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.491 ; 1.630 ; -; 1.910 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.514 ; 1.225 ; -; 1.919 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.236 ; -; 1.924 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.241 ; -; 1.931 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.142 ; -; 1.936 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.133 ; -; 1.936 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.147 ; -; 1.941 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.258 ; -; 1.947 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 1.142 ; -; 1.951 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.268 ; -; 1.954 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.615 ; 1.564 ; -; 1.960 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.498 ; 1.291 ; -; 1.969 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.484 ; 1.710 ; -; 1.980 ; spi_slave:spi_slave_rx2_inst|rdata[38] ; keyer_weight[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.691 ; 1.118 ; -; 1.986 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.611 ; 1.600 ; -; 2.000 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.197 ; -; 2.007 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.626 ; 1.210 ; -; 2.018 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.633 ; 1.214 ; -; 2.027 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.224 ; -; 2.031 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.636 ; 1.224 ; -; 2.033 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 1.228 ; -; 2.036 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.620 ; 1.245 ; -; 2.039 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.236 ; -; 2.040 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.678 ; 1.191 ; -; 2.040 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.626 ; 1.243 ; -; 2.041 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.636 ; 1.234 ; -; 2.042 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 1.237 ; -; 2.049 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.626 ; 1.252 ; -; 2.057 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.254 ; -; 2.062 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.689 ; 1.202 ; -; 2.066 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.611 ; 1.680 ; -; 2.067 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.685 ; 1.211 ; -; 2.071 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.687 ; 1.213 ; -; 2.073 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 1.268 ; -; 2.074 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.681 ; 1.222 ; -; 2.094 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.411 ; -; 2.095 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.676 ; 1.248 ; -; 2.095 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.412 ; -; 2.096 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.697 ; 1.228 ; -; 2.097 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.693 ; 1.233 ; -; 2.103 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.676 ; 1.256 ; -; 2.103 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.420 ; -; 2.106 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.685 ; 1.250 ; -; 2.106 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.423 ; -; 2.107 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.685 ; 1.251 ; -; 2.109 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.695 ; 1.243 ; -; 2.119 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.512 ; 1.436 ; -; 2.129 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.693 ; 1.265 ; -; 2.131 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.697 ; 1.263 ; -; 2.142 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.695 ; 1.276 ; -; 2.161 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.498 ; 1.492 ; -; 2.184 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.618 ; 1.395 ; -; 2.194 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.514 ; 1.509 ; -; 2.205 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.495 ; 1.539 ; -; 2.214 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.504 ; 1.539 ; -; 2.220 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.495 ; 1.554 ; -; 2.225 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.422 ; -; 2.226 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.504 ; 1.551 ; -; 2.227 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.506 ; 1.550 ; -; 2.229 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.504 ; 1.554 ; -; 2.229 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 1.424 ; -; 2.237 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.495 ; 1.571 ; -; 2.239 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 1.434 ; -; 2.242 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.634 ; 1.437 ; -; 2.243 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.440 ; -; 2.248 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.626 ; 1.451 ; -; 2.249 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.495 ; 1.583 ; -; 2.254 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.626 ; 1.457 ; -; 2.261 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.689 ; 1.401 ; -; 2.263 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.495 ; 1.597 ; -; 2.268 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.465 ; -; 2.271 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.632 ; 1.468 ; -; 2.272 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.620 ; 1.481 ; -; 2.272 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.504 ; 1.597 ; +; 1.541 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.635 ; 1.131 ; +; 1.683 ; spi_slave:spi_slave_rx2_inst|rdata[42] ; cw_speed[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.278 ; +; 1.734 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.613 ; 1.346 ; +; 1.734 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.613 ; 1.346 ; +; 1.767 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.664 ; 0.932 ; +; 1.802 ; spi_slave:spi_slave_rx2_inst|rdata[45] ; cw_speed[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.397 ; +; 1.809 ; spi_slave:spi_slave_rx2_inst|rdata[47] ; iambic_mode[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.635 ; 1.399 ; +; 1.844 ; spi_slave:spi_slave_rx2_inst|rdata[43] ; cw_speed[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.439 ; +; 1.847 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.442 ; +; 1.850 ; spi_slave:spi_slave_rx2_inst|rdata[46] ; iambic_mode[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.635 ; 1.440 ; +; 1.897 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.594 ; 1.132 ; +; 1.904 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.594 ; 1.139 ; +; 1.936 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.631 ; 1.134 ; +; 1.942 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.631 ; 1.140 ; +; 1.951 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.631 ; 1.149 ; +; 1.963 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.631 ; 1.161 ; +; 1.996 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.608 ; 1.217 ; +; 1.997 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.631 ; 1.195 ; +; 2.019 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.594 ; 1.254 ; +; 2.022 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.631 ; 1.220 ; +; 2.025 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.630 ; 1.620 ; +; 2.042 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.631 ; 1.240 ; +; 2.050 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.746 ; 1.133 ; +; 2.056 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.668 ; 1.217 ; +; 2.064 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.594 ; 1.299 ; +; 2.068 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.746 ; 1.151 ; +; 2.072 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.165 ; +; 2.078 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.732 ; 1.175 ; +; 2.122 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.818 ; 1.133 ; +; 2.222 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.604 ; 1.447 ; +; 2.224 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.650 ; 1.403 ; +; 2.227 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.590 ; 1.466 ; +; 2.234 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.594 ; 1.469 ; +; 2.262 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.654 ; 1.437 ; +; 2.269 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.336 ; 1.762 ; +; 2.271 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.645 ; 1.455 ; +; 2.277 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.645 ; 1.461 ; +; 2.277 ; spi_slave:spi_slave_rx2_inst|rdata[37] ; keyer_weight[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.717 ; 1.389 ; +; 2.281 ; spi_slave:spi_slave_rx2_inst|rdata[35] ; keyer_weight[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.717 ; 1.393 ; +; 2.285 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.299 ; 1.815 ; +; 2.312 ; spi_slave:spi_slave_rx2_inst|rdata[36] ; keyer_weight[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.717 ; 1.424 ; +; 2.324 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.580 ; 1.573 ; +; 2.324 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.417 ; +; 2.333 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.336 ; 1.826 ; +; 2.333 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.426 ; +; 2.335 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.428 ; +; 2.340 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.419 ; +; 2.341 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.717 ; 1.453 ; +; 2.342 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.435 ; +; 2.347 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.426 ; +; 2.351 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.717 ; 1.463 ; +; 2.352 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.645 ; 1.536 ; +; 2.352 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.645 ; 1.536 ; +; 2.354 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.301 ; 1.882 ; +; 2.354 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.287 ; 1.896 ; +; 2.358 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.645 ; 1.542 ; +; 2.362 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.287 ; 1.904 ; +; 2.363 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.287 ; 1.905 ; +; 2.366 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.445 ; +; 2.369 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.746 ; 1.452 ; +; 2.371 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.464 ; +; 2.376 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.336 ; 1.869 ; +; 2.376 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.469 ; +; 2.376 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.469 ; +; 2.380 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.459 ; +; 2.381 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.460 ; +; 2.389 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.338 ; 1.880 ; +; 2.391 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.732 ; 1.488 ; +; 2.395 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.474 ; +; 2.401 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.287 ; 1.943 ; +; 2.402 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.736 ; 1.495 ; +; 2.403 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.482 ; +; 2.406 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.804 ; 1.431 ; +; 2.409 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.336 ; 1.902 ; +; 2.414 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.493 ; +; 2.418 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.336 ; 1.911 ; +; 2.426 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.750 ; 1.505 ; +; 2.434 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.287 ; 1.976 ; +; 2.435 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.814 ; 1.450 ; +; 2.436 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.357 ; 1.908 ; +; 2.439 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.336 ; 1.932 ; +; 2.446 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.439 ; 1.836 ; +; 2.451 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.336 ; 1.944 ; +; 2.453 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.584 ; 1.698 ; +; 2.456 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.584 ; 1.701 ; +; 2.461 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.808 ; 1.482 ; +; 2.466 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.822 ; 1.473 ; +; 2.473 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.580 ; 1.722 ; +; 2.475 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.441 ; 1.863 ; +; 2.481 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.338 ; 1.972 ; +; 2.487 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.598 ; 1.718 ; +; 2.496 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.594 ; 1.731 ; +; 2.505 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.441 ; 1.893 ; +; 2.507 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.645 ; 1.691 ; +; 2.512 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.437 ; 1.904 ; +; 2.515 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.443 ; 1.901 ; +; 2.519 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.441 ; 1.907 ; +; 2.520 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.285 ; 2.064 ; +; 2.522 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.580 ; 1.771 ; +; 2.525 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.355 ; 1.999 ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ +; 1.754 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.184 ; 6.178 ; +; 1.866 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.210 ; 6.316 ; +; 2.112 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.210 ; 6.562 ; +; 2.313 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.216 ; 6.769 ; +; 2.313 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.210 ; 6.763 ; +; 2.324 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.215 ; 6.779 ; +; 2.361 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.209 ; 6.810 ; +; 2.368 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.209 ; 6.817 ; +; 2.425 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.209 ; 6.874 ; +; 2.053 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.196 ; 6.489 ; +; 2.523 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.214 ; 6.977 ; +; 2.166 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.218 ; 6.624 ; +; 2.149 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 4.195 ; 6.584 ; +; 6.628 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.215 ; 6.103 ; +; 6.703 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.209 ; 6.172 ; +; 6.710 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.196 ; 6.166 ; +; 6.720 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.218 ; 6.198 ; +; 6.732 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.214 ; 6.206 ; +; 6.803 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.209 ; 6.272 ; +; 6.817 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.210 ; 6.287 ; +; 6.829 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.210 ; 6.299 ; +; 6.863 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.184 ; 6.307 ; +; 6.853 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.195 ; 6.308 ; +; 6.802 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.210 ; 6.272 ; +; 6.688 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.216 ; 6.164 ; +; 6.813 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 4.209 ; 6.282 ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------------------------------------------------+ ; Slow 1200mV 0C Model Hold: 'virt_ad9866_rxclk' ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -; 14.058 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.891 ; 4.177 ; -; 14.065 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.891 ; 4.184 ; -; 14.227 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.891 ; 4.346 ; -; 14.372 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.891 ; 4.491 ; -; 14.697 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.891 ; 4.816 ; -; 14.715 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.028 ; 4.697 ; -; 14.721 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.028 ; 4.703 ; -; 14.988 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.404 ; 4.594 ; -; 16.421 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.916 ; 6.515 ; -; 16.775 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.028 ; 6.757 ; -; 16.917 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.916 ; 7.011 ; -; 17.083 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.891 ; 7.202 ; +; 14.280 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.856 ; 4.434 ; +; 14.355 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.810 ; 4.555 ; +; 14.475 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.810 ; 4.675 ; +; 14.477 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.183 ; 4.304 ; +; 14.509 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.810 ; 4.709 ; +; 14.603 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.810 ; 4.803 ; +; 15.711 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.433 ; 5.288 ; +; 15.939 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.185 ; 5.764 ; +; 16.575 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.810 ; 6.775 ; +; 16.653 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.636 ; 7.027 ; +; 16.713 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.810 ; 6.913 ; +; 17.706 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -3.185 ; 7.531 ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ @@ -4506,7 +4506,7 @@ Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Number of Synchronizer Chains Found: 64 Shortest Synchronizer Chain: 2 Registers Fraction of Chains for which MTBFs Could Not be Calculated: 0.500 -Worst Case Available Settling Time: 14.562 ns +Worst Case Available Settling Time: 13.704 ns Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 @@ -4518,17 +4518,17 @@ Typical MTBF values are calculated based on the nominal silicon characteristics, +-------------------------------------------------------------+-----------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------------------------------------------------------------+-----------+---------------+ -; spi_sck ; 0.471 ; 0.000 ; -; ad9866_clk ; 1.373 ; 0.000 ; -; spi_ce0 ; 1.794 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 2.633 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 2.854 ; 0.000 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 3.284 ; 0.000 ; -; virt_ad9866_rxclk ; 5.943 ; 0.000 ; -; clk_10mhz ; 96.266 ; 0.000 ; -; spi_ce1 ; 2498.420 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2602.186 ; 0.000 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33330.158 ; 0.000 ; +; spi_sck ; 0.564 ; 0.000 ; +; ad9866_clk ; 1.346 ; 0.000 ; +; spi_ce0 ; 1.890 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 2.443 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 2.815 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 3.213 ; 0.000 ; +; virt_ad9866_rxclk ; 5.586 ; 0.000 ; +; clk_10mhz ; 96.870 ; 0.000 ; +; spi_ce1 ; 2497.734 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2601.894 ; 0.000 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33329.832 ; 0.000 ; +-------------------------------------------------------------+-----------+---------------+ @@ -4537,17 +4537,17 @@ Typical MTBF values are calculated based on the nominal silicon characteristics, +-------------------------------------------------------------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------------------------------------------------------------+--------+---------------+ -; spi_ce0 ; 0.048 ; 0.000 ; -; ad9866_clk ; 0.099 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 0.101 ; 0.000 ; +; ad9866_clk ; 0.066 ; 0.000 ; +; spi_ce1 ; 0.120 ; 0.000 ; +; spi_ce0 ; 0.146 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 0.173 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.185 ; 0.000 ; +; spi_sck ; 0.185 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.187 ; 0.000 ; -; clk_10mhz ; 0.187 ; 0.000 ; -; spi_sck ; 0.188 ; 0.000 ; -; spi_ce1 ; 0.194 ; 0.000 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 0.319 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 0.513 ; 0.000 ; -; virt_ad9866_rxclk ; 11.346 ; 0.000 ; +; clk_10mhz ; 0.188 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 0.484 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 0.632 ; 0.000 ; +; virt_ad9866_rxclk ; 11.456 ; 0.000 ; +-------------------------------------------------------------+--------+---------------+ @@ -4570,14 +4570,14 @@ No paths to report. +-------------------------------------------------------------+-----------+---------------+ ; ad9866_rxclk ; 2.563 ; 0.000 ; ; ad9866_txclk ; 2.563 ; 0.000 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 4.842 ; 0.000 ; -; ad9866_clk ; 5.460 ; 0.000 ; -; spi_sck ; 30.987 ; 0.000 ; -; clk_10mhz ; 49.189 ; 0.000 ; -; spi_ce0 ; 1248.849 ; 0.000 ; -; spi_ce1 ; 1248.970 ; 0.000 ; -; spi_slave:spi_slave_rx_inst|done ; 1249.572 ; 0.000 ; -; spi_slave:spi_slave_rx2_inst|done ; 1249.668 ; 0.000 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 4.809 ; 0.000 ; +; ad9866_clk ; 5.465 ; 0.000 ; +; spi_sck ; 31.017 ; 0.000 ; +; clk_10mhz ; 49.225 ; 0.000 ; +; spi_ce0 ; 1248.810 ; 0.000 ; +; spi_ce1 ; 1248.907 ; 0.000 ; +; spi_slave:spi_slave_rx_inst|done ; 1249.596 ; 0.000 ; +; spi_slave:spi_slave_rx2_inst|done ; 1249.675 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2603.671 ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 16666.212 ; 0.000 ; +-------------------------------------------------------------+-----------+---------------+ @@ -4588,106 +4588,106 @@ No paths to report. +-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[3] ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[6] ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[5] ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[0] ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[2] ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[4] ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[1] ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.471 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|done ; spi_ce0 ; spi_sck ; 2.000 ; 1.491 ; 2.997 ; -; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[3] ; spi_ce1 ; spi_sck ; 2.000 ; 1.348 ; 2.659 ; -; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[4] ; spi_ce1 ; spi_sck ; 2.000 ; 1.348 ; 2.659 ; -; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[5] ; spi_ce1 ; spi_sck ; 2.000 ; 1.348 ; 2.659 ; -; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[6] ; spi_ce1 ; spi_sck ; 2.000 ; 1.348 ; 2.659 ; -; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[0] ; spi_ce1 ; spi_sck ; 2.000 ; 1.348 ; 2.659 ; -; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[1] ; spi_ce1 ; spi_sck ; 2.000 ; 1.348 ; 2.659 ; -; 0.666 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[2] ; spi_ce1 ; spi_sck ; 2.000 ; 1.348 ; 2.659 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[8] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[5] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.675 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_ce1 ; spi_sck ; 2.000 ; 1.405 ; 2.707 ; -; 0.686 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[32] ; spi_ce0 ; spi_sck ; 2.000 ; 1.501 ; 2.792 ; -; 0.705 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_ce1 ; spi_sck ; 2.000 ; 1.452 ; 2.724 ; -; 0.710 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_ce0 ; spi_sck ; 2.000 ; 1.400 ; 2.667 ; -; 0.710 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[40] ; spi_ce0 ; spi_sck ; 2.000 ; 1.400 ; 2.667 ; -; 0.710 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[31] ; spi_ce0 ; spi_sck ; 2.000 ; 1.400 ; 2.667 ; -; 0.710 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[30] ; spi_ce0 ; spi_sck ; 2.000 ; 1.400 ; 2.667 ; -; 0.722 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[20] ; spi_ce1 ; spi_sck ; 2.000 ; 1.487 ; 2.742 ; -; 0.722 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_ce1 ; spi_sck ; 2.000 ; 1.487 ; 2.742 ; -; 0.722 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_ce1 ; spi_sck ; 2.000 ; 1.487 ; 2.742 ; -; 0.722 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[28] ; spi_ce1 ; spi_sck ; 2.000 ; 1.487 ; 2.742 ; -; 0.722 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[27] ; spi_ce1 ; spi_sck ; 2.000 ; 1.487 ; 2.742 ; -; 0.722 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_ce1 ; spi_sck ; 2.000 ; 1.487 ; 2.742 ; -; 0.722 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_ce1 ; spi_sck ; 2.000 ; 1.487 ; 2.742 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[10] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[0] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[14] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; spi_ce1 ; spi_sck ; 2.000 ; 1.467 ; 2.711 ; -; 0.733 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_ce1 ; spi_sck ; 2.000 ; 1.513 ; 2.757 ; -; 0.746 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[36] ; spi_ce0 ; spi_sck ; 2.000 ; 1.509 ; 2.740 ; -; 0.751 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[17] ; spi_ce1 ; spi_sck ; 2.000 ; 1.515 ; 2.741 ; -; 0.751 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[16] ; spi_ce1 ; spi_sck ; 2.000 ; 1.515 ; 2.741 ; -; 0.751 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[18] ; spi_ce1 ; spi_sck ; 2.000 ; 1.515 ; 2.741 ; -; 0.751 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_ce1 ; spi_sck ; 2.000 ; 1.515 ; 2.741 ; -; 0.751 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_ce1 ; spi_sck ; 2.000 ; 1.515 ; 2.741 ; -; 0.751 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[19] ; spi_ce1 ; spi_sck ; 2.000 ; 1.515 ; 2.741 ; -; 0.751 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_ce1 ; spi_sck ; 2.000 ; 1.515 ; 2.741 ; -; 0.754 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_ce0 ; spi_sck ; 2.000 ; 1.366 ; 2.589 ; -; 0.754 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_ce0 ; spi_sck ; 2.000 ; 1.366 ; 2.589 ; -; 0.754 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_ce0 ; spi_sck ; 2.000 ; 1.366 ; 2.589 ; -; 0.754 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[17] ; spi_ce0 ; spi_sck ; 2.000 ; 1.366 ; 2.589 ; -; 0.771 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[34] ; spi_ce0 ; spi_sck ; 2.000 ; 1.522 ; 2.728 ; -; 0.771 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[33] ; spi_ce0 ; spi_sck ; 2.000 ; 1.522 ; 2.728 ; -; 0.771 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[37] ; spi_ce0 ; spi_sck ; 2.000 ; 1.522 ; 2.728 ; -; 0.771 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_ce0 ; spi_sck ; 2.000 ; 1.522 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[42] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[39] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[47] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.792 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[41] ; spi_ce1 ; spi_sck ; 2.000 ; 1.543 ; 2.728 ; -; 0.794 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|done ; spi_ce1 ; spi_sck ; 2.000 ; 1.334 ; 2.517 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[8] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[11] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[5] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[10] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[9] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[6] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.850 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[7] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.507 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[14] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[12] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[13] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[16] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[15] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.855 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[0] ; spi_ce0 ; spi_sck ; 2.000 ; 1.380 ; 2.502 ; -; 0.857 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[23] ; spi_ce0 ; spi_sck ; 2.000 ; 1.382 ; 2.502 ; -; 0.857 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[22] ; spi_ce0 ; spi_sck ; 2.000 ; 1.382 ; 2.502 ; -; 0.857 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[21] ; spi_ce0 ; spi_sck ; 2.000 ; 1.382 ; 2.502 ; -; 0.857 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[20] ; spi_ce0 ; spi_sck ; 2.000 ; 1.382 ; 2.502 ; -; 0.857 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[19] ; spi_ce0 ; spi_sck ; 2.000 ; 1.382 ; 2.502 ; +; 0.564 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[6] ; spi_ce1 ; spi_sck ; 2.000 ; 1.338 ; 2.751 ; +; 0.564 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[3] ; spi_ce1 ; spi_sck ; 2.000 ; 1.338 ; 2.751 ; +; 0.564 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[1] ; spi_ce1 ; spi_sck ; 2.000 ; 1.338 ; 2.751 ; +; 0.564 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[0] ; spi_ce1 ; spi_sck ; 2.000 ; 1.338 ; 2.751 ; +; 0.564 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[4] ; spi_ce1 ; spi_sck ; 2.000 ; 1.338 ; 2.751 ; +; 0.564 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[5] ; spi_ce1 ; spi_sck ; 2.000 ; 1.338 ; 2.751 ; +; 0.564 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|nb[2] ; spi_ce1 ; spi_sck ; 2.000 ; 1.338 ; 2.751 ; +; 0.579 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_ce1 ; spi_sck ; 2.000 ; 1.501 ; 2.899 ; +; 0.579 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_ce1 ; spi_sck ; 2.000 ; 1.501 ; 2.899 ; +; 0.579 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_ce1 ; spi_sck ; 2.000 ; 1.501 ; 2.899 ; +; 0.579 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_ce1 ; spi_sck ; 2.000 ; 1.501 ; 2.899 ; +; 0.579 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_ce1 ; spi_sck ; 2.000 ; 1.501 ; 2.899 ; +; 0.579 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_ce1 ; spi_sck ; 2.000 ; 1.501 ; 2.899 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[3] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[5] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[0] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[6] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[2] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[1] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|nb[4] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rreg[30] ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.590 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|done ; spi_ce0 ; spi_sck ; 2.000 ; 1.542 ; 2.929 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[27] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[22] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[21] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[4] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[3] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[2] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[40] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[24] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[30] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.598 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[23] ; spi_ce1 ; spi_sck ; 2.000 ; 1.500 ; 2.879 ; +; 0.623 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|done ; spi_ce1 ; spi_sck ; 2.000 ; 1.337 ; 2.691 ; +; 0.625 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_ce1 ; spi_sck ; 2.000 ; 1.535 ; 2.887 ; +; 0.625 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_ce1 ; spi_sck ; 2.000 ; 1.535 ; 2.887 ; +; 0.625 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_ce1 ; spi_sck ; 2.000 ; 1.535 ; 2.887 ; +; 0.631 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[7] ; spi_ce1 ; spi_sck ; 2.000 ; 1.456 ; 2.802 ; +; 0.631 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[28] ; spi_ce1 ; spi_sck ; 2.000 ; 1.456 ; 2.802 ; +; 0.699 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[0] ; spi_ce1 ; spi_sck ; 2.000 ; 1.417 ; 2.695 ; +; 0.699 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[18] ; spi_ce1 ; spi_sck ; 2.000 ; 1.417 ; 2.695 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[47] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[41] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[42] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.727 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[39] ; spi_ce1 ; spi_sck ; 2.000 ; 1.534 ; 2.784 ; +; 0.730 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_ce0 ; spi_sck ; 2.000 ; 1.363 ; 2.610 ; +; 0.730 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_ce0 ; spi_sck ; 2.000 ; 1.363 ; 2.610 ; +; 0.730 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_ce0 ; spi_sck ; 2.000 ; 1.363 ; 2.610 ; +; 0.730 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[1] ; spi_ce0 ; spi_sck ; 2.000 ; 1.363 ; 2.610 ; +; 0.730 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[0] ; spi_ce0 ; spi_sck ; 2.000 ; 1.363 ; 2.610 ; +; 0.730 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[41] ; spi_ce0 ; spi_sck ; 2.000 ; 1.363 ; 2.610 ; +; 0.730 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[31] ; spi_ce0 ; spi_sck ; 2.000 ; 1.363 ; 2.610 ; +; 0.743 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[17] ; spi_ce1 ; spi_sck ; 2.000 ; 1.431 ; 2.665 ; +; 0.743 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[9] ; spi_ce1 ; spi_sck ; 2.000 ; 1.431 ; 2.665 ; +; 0.743 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[8] ; spi_ce1 ; spi_sck ; 2.000 ; 1.431 ; 2.665 ; +; 0.743 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[6] ; spi_ce1 ; spi_sck ; 2.000 ; 1.431 ; 2.665 ; +; 0.743 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[5] ; spi_ce1 ; spi_sck ; 2.000 ; 1.431 ; 2.665 ; +; 0.743 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[19] ; spi_ce1 ; spi_sck ; 2.000 ; 1.431 ; 2.665 ; +; 0.743 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[20] ; spi_ce1 ; spi_sck ; 2.000 ; 1.431 ; 2.665 ; +; 0.757 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_ce1 ; spi_sck ; 2.000 ; 1.463 ; 2.683 ; +; 0.757 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[16] ; spi_ce1 ; spi_sck ; 2.000 ; 1.463 ; 2.683 ; +; 0.757 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[14] ; spi_ce1 ; spi_sck ; 2.000 ; 1.463 ; 2.683 ; +; 0.757 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[13] ; spi_ce1 ; spi_sck ; 2.000 ; 1.463 ; 2.683 ; +; 0.757 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_ce1 ; spi_sck ; 2.000 ; 1.463 ; 2.683 ; +; 0.757 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[11] ; spi_ce1 ; spi_sck ; 2.000 ; 1.463 ; 2.683 ; +; 0.757 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|rdata[10] ; spi_ce1 ; spi_sck ; 2.000 ; 1.463 ; 2.683 ; +; 0.760 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[6] ; spi_ce0 ; spi_sck ; 2.000 ; 1.364 ; 2.581 ; +; 0.760 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[8] ; spi_ce0 ; spi_sck ; 2.000 ; 1.364 ; 2.581 ; +; 0.760 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[7] ; spi_ce0 ; spi_sck ; 2.000 ; 1.364 ; 2.581 ; +; 0.760 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[5] ; spi_ce0 ; spi_sck ; 2.000 ; 1.364 ; 2.581 ; +; 0.760 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[12] ; spi_ce0 ; spi_sck ; 2.000 ; 1.364 ; 2.581 ; +; 0.760 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[9] ; spi_ce0 ; spi_sck ; 2.000 ; 1.364 ; 2.581 ; +; 0.760 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[10] ; spi_ce0 ; spi_sck ; 2.000 ; 1.364 ; 2.581 ; +; 0.774 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_ce0 ; spi_sck ; 2.000 ; 1.466 ; 2.669 ; +; 0.774 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_ce0 ; spi_sck ; 2.000 ; 1.466 ; 2.669 ; +; 0.774 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_ce0 ; spi_sck ; 2.000 ; 1.466 ; 2.669 ; +; 0.774 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_ce0 ; spi_sck ; 2.000 ; 1.466 ; 2.669 ; +; 0.774 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_ce0 ; spi_sck ; 2.000 ; 1.466 ; 2.669 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[11] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[23] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[29] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[17] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[19] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[18] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[16] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[22] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[20] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[40] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[13] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[21] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[15] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[30] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.788 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[14] ; spi_ce0 ; spi_sck ; 2.000 ; 1.361 ; 2.550 ; +; 0.793 ; spi_ce[0] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_ce0 ; spi_sck ; 2.000 ; 1.581 ; 2.765 ; +-------+-----------+----------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -4696,215 +4696,215 @@ No paths to report. +-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ -; 1.373 ; ad9866_adio[7] ; adcpipe[0][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.293 ; 2.927 ; -; 1.380 ; ad9866_adio[8] ; adcpipe[0][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.293 ; 2.920 ; -; 1.389 ; ad9866_adio[6] ; adcpipe[0][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.293 ; 2.911 ; -; 1.401 ; ad9866_adio[4] ; adcpipe[1][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.299 ; 2.905 ; -; 1.415 ; ad9866_adio[10] ; adcpipe[0][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.293 ; 2.885 ; -; 1.439 ; ad9866_adio[2] ; adcpipe[0][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.302 ; 2.870 ; -; 1.454 ; ad9866_adio[4] ; adcpipe[0][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.318 ; 2.871 ; -; 1.462 ; ad9866_adio[6] ; adcpipe[1][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.222 ; 2.767 ; -; 1.463 ; ad9866_adio[2] ; adcpipe[1][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.360 ; 2.904 ; -; 1.464 ; ad9866_adio[8] ; adcpipe[1][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.222 ; 2.765 ; -; 1.480 ; ad9866_adio[11] ; adcpipe[1][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.298 ; 2.825 ; -; 1.480 ; ad9866_adio[11] ; adcpipe[0][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.298 ; 2.825 ; -; 1.489 ; ad9866_adio[0] ; adcpipe[0][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.182 ; 2.700 ; -; 1.508 ; ad9866_adio[3] ; adcpipe[1][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.233 ; 2.732 ; -; 1.526 ; ad9866_adio[9] ; adcpipe[1][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.233 ; 2.714 ; -; 1.527 ; ad9866_adio[9] ; adcpipe[0][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.233 ; 2.713 ; -; 1.532 ; ad9866_adio[10] ; adcpipe[1][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.222 ; 2.697 ; -; 1.534 ; ad9866_adio[1] ; adcpipe[1][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.248 ; 2.721 ; -; 1.546 ; ad9866_adio[0] ; adcpipe[1][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.229 ; 2.690 ; -; 1.563 ; ad9866_adio[7] ; adcpipe[1][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.248 ; 2.692 ; -; 1.576 ; ad9866_adio[5] ; adcpipe[1][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.251 ; 2.682 ; -; 1.576 ; ad9866_adio[5] ; adcpipe[0][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.251 ; 2.682 ; -; 1.629 ; ad9866_adio[3] ; adcpipe[0][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.223 ; 2.601 ; -; 1.632 ; ad9866_adio[1] ; adcpipe[0][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.108 ; 2.483 ; -; 2.865 ; ad9866_clk ; ad9866_txclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 3.145 ; -; 2.865 ; ad9866_clk ; ad9866_rxclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 3.145 ; -; 5.479 ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_miso ; spi_sck ; ad9866_clk ; 11.000 ; -2.169 ; 2.822 ; -; 5.764 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[12] ; transmitter:transmitter_inst|out_data[12] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.343 ; 1.096 ; -; 5.817 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[4] ; transmitter:transmitter_inst|out_data[4] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.326 ; 1.026 ; -; 5.856 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[2] ; transmitter:transmitter_inst|out_data[2] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.343 ; 1.004 ; -; 5.906 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[5] ; transmitter:transmitter_inst|out_data[5] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.326 ; 0.937 ; -; 5.951 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[11] ; transmitter:transmitter_inst|out_data[11] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.326 ; 0.892 ; -; 5.952 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[10] ; transmitter:transmitter_inst|out_data[10] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.326 ; 0.891 ; -; 5.954 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[8] ; transmitter:transmitter_inst|out_data[8] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.326 ; 0.889 ; -; 5.966 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[3] ; transmitter:transmitter_inst|out_data[3] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.326 ; 0.877 ; -; 6.143 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[9] ; transmitter:transmitter_inst|out_data[9] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.410 ; 0.784 ; -; 6.160 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[13] ; transmitter:transmitter_inst|out_data[13] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.410 ; 0.767 ; -; 6.166 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[6] ; transmitter:transmitter_inst|out_data[6] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.410 ; 0.761 ; -; 6.504 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[7] ; transmitter:transmitter_inst|out_data[7] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.654 ; 0.667 ; -; 7.083 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.788 ; 3.649 ; -; 7.218 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.729 ; 3.573 ; -; 7.220 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.788 ; 3.512 ; -; 7.298 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.505 ; -; 7.316 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.767 ; 3.437 ; -; 7.366 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.814 ; 3.340 ; -; 7.381 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.422 ; -; 7.387 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.729 ; 3.404 ; -; 7.389 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.710 ; 3.421 ; -; 7.414 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.683 ; 3.423 ; -; 7.445 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.358 ; -; 7.464 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.734 ; 3.322 ; -; 7.484 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.767 ; 3.269 ; -; 7.507 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.704 ; 3.309 ; -; 7.519 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.837 ; 3.164 ; -; 7.528 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.699 ; 3.293 ; -; 7.538 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.814 ; 3.168 ; -; 7.539 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.699 ; 3.282 ; -; 7.539 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.264 ; -; 7.550 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.543 ; 3.427 ; -; 7.557 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.710 ; 3.253 ; -; 7.567 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.528 ; 3.425 ; -; 7.568 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.543 ; 3.409 ; -; 7.598 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.528 ; 3.394 ; -; 7.610 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.546 ; 3.364 ; -; 7.612 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.546 ; 3.362 ; -; 7.634 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.734 ; 3.152 ; -; 7.642 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.660 ; 3.218 ; -; 7.655 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.660 ; 3.205 ; -; 7.656 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.546 ; 3.318 ; -; 7.656 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.699 ; 3.165 ; -; 7.667 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.546 ; 3.307 ; -; 7.683 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.699 ; 3.138 ; -; 7.703 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.683 ; 3.134 ; -; 7.706 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.660 ; 3.154 ; -; 7.716 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.683 ; 3.121 ; -; 7.720 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.543 ; 3.257 ; -; 7.723 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.660 ; 3.137 ; -; 7.747 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.528 ; 3.245 ; -; 7.762 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.543 ; 3.215 ; -; 7.829 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.683 ; 3.008 ; -; 7.833 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.704 ; 2.983 ; -; 7.849 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.683 ; 2.988 ; -; 7.920 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.528 ; 3.072 ; -; 8.572 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[23] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.321 ; 4.134 ; -; 8.576 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[22] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.321 ; 4.130 ; -; 8.640 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[21] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.321 ; 4.066 ; -; 8.644 ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|Iaccum[1] ; receiver:receiver_rx_inst|firX8R8:fir2|Iacc[20] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.321 ; 4.062 ; -; 8.645 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][19] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.184 ; 4.566 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[11] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[12] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[13] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[14] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[15] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[16] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[17] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[18] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[19] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[20] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[23] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; -; 8.664 ; receiver:receiver_rx_inst|varcic:varcic_inst_I1|out_strobe ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:B|Raccum[22] ; ad9866_clk ; ad9866_clk ; 13.020 ; -0.154 ; 4.209 ; +; 1.346 ; ad9866_adio[2] ; adcpipe[0][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.528 ; 3.189 ; +; 1.371 ; ad9866_adio[8] ; adcpipe[0][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.511 ; 3.147 ; +; 1.406 ; ad9866_adio[8] ; adcpipe[1][8] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.222 ; 2.823 ; +; 1.413 ; ad9866_adio[4] ; adcpipe[0][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.335 ; 2.929 ; +; 1.416 ; ad9866_adio[7] ; adcpipe[0][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.528 ; 3.119 ; +; 1.418 ; ad9866_adio[10] ; adcpipe[0][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.511 ; 3.100 ; +; 1.424 ; ad9866_adio[0] ; adcpipe[0][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.528 ; 3.111 ; +; 1.433 ; ad9866_adio[2] ; adcpipe[1][2] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.336 ; 2.910 ; +; 1.443 ; ad9866_adio[1] ; adcpipe[0][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.412 ; 2.976 ; +; 1.446 ; ad9866_adio[3] ; adcpipe[0][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.528 ; 3.089 ; +; 1.450 ; ad9866_adio[6] ; adcpipe[1][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.225 ; 2.782 ; +; 1.464 ; ad9866_adio[7] ; adcpipe[1][7] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.225 ; 2.768 ; +; 1.485 ; ad9866_adio[6] ; adcpipe[0][6] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.511 ; 3.033 ; +; 1.493 ; ad9866_adio[5] ; adcpipe[0][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.152 ; 2.666 ; +; 1.494 ; ad9866_adio[5] ; adcpipe[1][5] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.152 ; 2.665 ; +; 1.509 ; ad9866_adio[11] ; adcpipe[0][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.282 ; 2.780 ; +; 1.510 ; ad9866_adio[4] ; adcpipe[1][4] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.225 ; 2.722 ; +; 1.525 ; ad9866_adio[9] ; adcpipe[0][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.208 ; 2.690 ; +; 1.526 ; ad9866_adio[9] ; adcpipe[1][9] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.208 ; 2.689 ; +; 1.546 ; ad9866_adio[11] ; adcpipe[1][11] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.222 ; 2.683 ; +; 1.557 ; ad9866_adio[1] ; adcpipe[1][1] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.225 ; 2.675 ; +; 1.587 ; ad9866_adio[0] ; adcpipe[1][0] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.294 ; 2.714 ; +; 1.595 ; ad9866_adio[3] ; adcpipe[1][3] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.222 ; 2.634 ; +; 1.605 ; ad9866_adio[10] ; adcpipe[1][10] ; virt_ad9866_clk ; ad9866_clk ; 13.020 ; 1.222 ; 2.624 ; +; 2.801 ; ad9866_clk ; ad9866_txclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 3.209 ; +; 2.801 ; ad9866_clk ; ad9866_rxclk ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.000 ; 3.209 ; +; 5.293 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[5] ; transmitter:transmitter_inst|out_data[5] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.403 ; 1.627 ; +; 5.387 ; spi_slave:spi_slave_rx_inst|treg[47] ; spi_miso ; spi_sck ; ad9866_clk ; 11.000 ; -2.038 ; 3.045 ; +; 5.453 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[12] ; transmitter:transmitter_inst|out_data[12] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.328 ; 1.392 ; +; 5.463 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[2] ; transmitter:transmitter_inst|out_data[2] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.403 ; 1.457 ; +; 5.656 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[11] ; transmitter:transmitter_inst|out_data[11] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.426 ; 1.287 ; +; 5.847 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[8] ; transmitter:transmitter_inst|out_data[8] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.403 ; 1.073 ; +; 5.935 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[9] ; transmitter:transmitter_inst|out_data[9] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.403 ; 0.985 ; +; 5.986 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[10] ; transmitter:transmitter_inst|out_data[10] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.403 ; 0.934 ; +; 6.044 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[7] ; transmitter:transmitter_inst|out_data[7] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.403 ; 0.876 ; +; 6.227 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[13] ; transmitter:transmitter_inst|out_data[13] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.778 ; 1.068 ; +; 6.239 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[6] ; transmitter:transmitter_inst|out_data[6] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.624 ; 0.902 ; +; 6.708 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[4] ; transmitter:transmitter_inst|out_data[4] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.640 ; 0.449 ; +; 6.782 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|rounded_I[3] ; transmitter:transmitter_inst|out_data[3] ; ad9866_clk ; ad9866_clk ; 6.510 ; 0.640 ; 0.375 ; +; 7.073 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.686 ; 3.761 ; +; 7.088 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.671 ; 3.761 ; +; 7.112 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.691 ; +; 7.125 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.702 ; 3.693 ; +; 7.132 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.686 ; 3.702 ; +; 7.138 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.689 ; 3.693 ; +; 7.142 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.670 ; 3.708 ; +; 7.145 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.674 ; 3.701 ; +; 7.155 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.648 ; +; 7.163 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.702 ; 3.655 ; +; 7.216 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.465 ; 3.839 ; +; 7.218 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[7] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.686 ; 3.616 ; +; 7.240 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.662 ; 3.618 ; +; 7.242 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.545 ; 3.733 ; +; 7.245 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[6] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.671 ; 3.604 ; +; 7.247 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.638 ; 3.635 ; +; 7.249 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[3] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.554 ; +; 7.251 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.651 ; 3.618 ; +; 7.276 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[9] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.686 ; 3.558 ; +; 7.277 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[2] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.702 ; 3.541 ; +; 7.298 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.480 ; 3.742 ; +; 7.305 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[8] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.670 ; 3.545 ; +; 7.307 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[7] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.689 ; 3.524 ; +; 7.308 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[5] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.717 ; 3.495 ; +; 7.319 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[2] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.637 ; 3.564 ; +; 7.334 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[4] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.702 ; 3.484 ; +; 7.345 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.716 ; 3.459 ; +; 7.351 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.716 ; 3.453 ; +; 7.369 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.641 ; 3.510 ; +; 7.377 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.465 ; 3.678 ; +; 7.405 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[4] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.545 ; 3.570 ; +; 7.417 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[3] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.651 ; 3.452 ; +; 7.428 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.545 ; 3.547 ; +; 7.468 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[1] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.480 ; 3.572 ; +; 7.482 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.700 ; 3.338 ; +; 7.505 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.700 ; 3.315 ; +; 7.524 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[1] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.700 ; 3.296 ; +; 7.539 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[0] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.700 ; 3.281 ; +; 7.541 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[5] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.641 ; 3.338 ; +; 7.548 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[9] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.542 ; 3.430 ; +; 7.618 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.545 ; 3.357 ; +; 7.632 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[11] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.716 ; 3.172 ; +; 7.644 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|rdptr_g[10] ; txFIFOFull ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.716 ; 3.160 ; +; 7.873 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[8] ; rx1_FIFOEmpty ; ad9866_clk ; ad9866_clk ; 13.020 ; -1.542 ; 3.105 ; +; 8.185 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 5.106 ; +; 8.186 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.219 ; 5.060 ; +; 8.219 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 5.072 ; +; 8.240 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[35] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 5.051 ; +; 8.244 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[38] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 5.047 ; +; 8.295 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[37] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 4.996 ; +; 8.322 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[40] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 4.969 ; +; 8.373 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[39] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 4.918 ; +; 8.430 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[41] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.264 ; 4.861 ; +; 8.454 ; transmitter:transmitter_inst|CicInterpM5:in2|y5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.256 ; 4.829 ; +; 8.476 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.147 ; 4.698 ; +; 8.485 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[43] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.219 ; 4.761 ; +; 8.493 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][16] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.210 ; 4.744 ; +; 8.503 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.133 ; 4.657 ; +; 8.507 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[42] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][17] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.147 ; 4.667 ; +; 8.524 ; transmitter:transmitter_inst|CicInterpM5:in2|y5[34] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[0][18] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.256 ; 4.759 ; +; 8.524 ; transmitter:transmitter_inst|CicInterpM5:in2|s5[36] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Y[0][17] ; ad9866_clk ; ad9866_clk ; 13.020 ; 0.210 ; 4.713 ; +-------+------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------+-----------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'spi_ce0' ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 1.794 ; spi_slave:spi_slave_rx_inst|rdata[15] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.604 ; 0.809 ; -; 1.798 ; spi_slave:spi_slave_rx_inst|rdata[13] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.604 ; 0.805 ; -; 1.818 ; spi_slave:spi_slave_rx_inst|rdata[12] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.604 ; 0.785 ; -; 1.824 ; spi_slave:spi_slave_rx_inst|rdata[14] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.604 ; 0.779 ; -; 1.858 ; spi_slave:spi_slave_rx_inst|rdata[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.733 ; 0.874 ; -; 1.906 ; spi_slave:spi_slave_rx_inst|rdata[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.733 ; 0.826 ; -; 1.932 ; spi_slave:spi_slave_rx_inst|rdata[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.733 ; 0.800 ; -; 1.947 ; spi_slave:spi_slave_rx_inst|rdata[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.751 ; 0.803 ; -; 1.952 ; spi_slave:spi_slave_rx_inst|rdata[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.733 ; 0.780 ; -; 1.962 ; spi_slave:spi_slave_rx_inst|rdata[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.751 ; 0.788 ; -; 1.968 ; spi_slave:spi_slave_rx_inst|rdata[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.751 ; 0.782 ; -; 1.989 ; spi_slave:spi_slave_rx_inst|rdata[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.751 ; 0.761 ; -; 3.719 ; spi_slave:spi_slave_rx_inst|rdata[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.749 ; 1.029 ; -; 3.869 ; spi_slave:spi_slave_rx_inst|rdata[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.749 ; 0.879 ; -; 3.891 ; spi_slave:spi_slave_rx_inst|rdata[20] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.787 ; 0.895 ; -; 3.924 ; spi_slave:spi_slave_rx_inst|rdata[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.749 ; 0.824 ; -; 3.938 ; spi_slave:spi_slave_rx_inst|rdata[19] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.803 ; 0.864 ; -; 3.939 ; spi_slave:spi_slave_rx_inst|rdata[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.749 ; 0.809 ; -; 3.940 ; spi_slave:spi_slave_rx_inst|rdata[26] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.752 ; 0.811 ; -; 3.956 ; spi_slave:spi_slave_rx_inst|rdata[27] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.752 ; 0.795 ; -; 3.962 ; spi_slave:spi_slave_rx_inst|rdata[25] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.735 ; 0.772 ; -; 3.964 ; spi_slave:spi_slave_rx_inst|rdata[24] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.735 ; 0.770 ; -; 3.965 ; spi_slave:spi_slave_rx_inst|rdata[30] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.756 ; 0.790 ; -; 3.974 ; spi_slave:spi_slave_rx_inst|rdata[31] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.756 ; 0.781 ; -; 3.998 ; spi_slave:spi_slave_rx_inst|rdata[22] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.787 ; 0.788 ; -; 4.018 ; spi_slave:spi_slave_rx_inst|rdata[17] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.820 ; 0.801 ; -; 4.019 ; spi_slave:spi_slave_rx_inst|rdata[23] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.787 ; 0.767 ; -; 4.032 ; spi_slave:spi_slave_rx_inst|rdata[21] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.787 ; 0.754 ; -; 4.062 ; spi_slave:spi_slave_rx_inst|rdata[18] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.803 ; 0.740 ; -; 4.194 ; spi_slave:spi_slave_rx_inst|rdata[29] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.775 ; 0.580 ; -; 4.195 ; spi_slave:spi_slave_rx_inst|rdata[28] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.792 ; 0.596 ; -; 4.227 ; spi_slave:spi_slave_rx_inst|rdata[16] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.804 ; 0.576 ; -; 2497.795 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.022 ; 2.190 ; -; 2497.825 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.022 ; 2.160 ; -; 2497.949 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.022 ; 2.036 ; -; 2497.976 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 2.030 ; -; 2498.006 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 2.000 ; -; 2498.022 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.022 ; 1.963 ; -; 2498.052 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.022 ; 1.933 ; -; 2498.105 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.901 ; -; 2498.113 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.893 ; -; 2498.130 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.876 ; -; 2498.135 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.871 ; -; 2498.143 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.863 ; -; 2498.157 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.849 ; -; 2498.176 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.022 ; 1.809 ; -; 2498.187 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.819 ; -; 2498.259 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.747 ; -; 2498.267 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.739 ; -; 2498.306 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.593 ; -; 2498.307 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.592 ; -; 2498.311 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.695 ; -; 2498.371 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.528 ; -; 2498.372 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.527 ; -; 2498.421 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.478 ; -; 2498.438 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.461 ; -; 2498.469 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.430 ; -; 2498.487 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.412 ; -; 2498.491 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.191 ; 1.729 ; -; 2498.506 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.203 ; 1.298 ; -; 2498.509 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.191 ; 1.711 ; -; 2498.530 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.066 ; 1.433 ; -; 2498.531 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.007 ; 1.505 ; -; 2498.535 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.036 ; 1.458 ; -; 2498.536 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.203 ; 1.268 ; -; 2498.536 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.117 ; 1.588 ; -; 2498.549 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.007 ; 1.487 ; -; 2498.562 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.140 ; 1.327 ; -; 2498.584 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.315 ; -; 2498.604 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.203 ; 1.200 ; -; 2498.612 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.108 ; 1.287 ; -; 2498.613 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.393 ; -; 2498.617 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.066 ; 1.346 ; -; 2498.622 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.036 ; 1.371 ; -; 2498.626 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.136 ; 1.539 ; -; 2498.634 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.203 ; 1.170 ; -; 2498.643 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.001 ; 1.363 ; -; 2498.644 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.136 ; 1.521 ; -; 2498.649 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.139 ; 1.519 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.649 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.078 ; 1.248 ; -; 2498.650 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.077 ; 1.248 ; -; 2498.650 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.077 ; 1.248 ; -; 2498.650 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.077 ; 1.248 ; -+----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Setup: 'spi_ce0' ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 1.890 ; spi_slave:spi_slave_rx_inst|rdata[15] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.793 ; 0.902 ; +; 2.014 ; spi_slave:spi_slave_rx_inst|rdata[14] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.793 ; 0.778 ; +; 2.015 ; spi_slave:spi_slave_rx_inst|rdata[13] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.793 ; 0.777 ; +; 2.016 ; spi_slave:spi_slave_rx_inst|rdata[12] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.789 ; 0.772 ; +; 2.156 ; spi_slave:spi_slave_rx_inst|rdata[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.784 ; 0.627 ; +; 2.164 ; spi_slave:spi_slave_rx_inst|rdata[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.784 ; 0.619 ; +; 2.178 ; spi_slave:spi_slave_rx_inst|rdata[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.775 ; 0.596 ; +; 2.187 ; spi_slave:spi_slave_rx_inst|rdata[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.775 ; 0.587 ; +; 2.188 ; spi_slave:spi_slave_rx_inst|rdata[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.784 ; 0.595 ; +; 2.189 ; spi_slave:spi_slave_rx_inst|rdata[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.775 ; 0.585 ; +; 2.190 ; spi_slave:spi_slave_rx_inst|rdata[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.784 ; 0.593 ; +; 2.207 ; spi_slave:spi_slave_rx_inst|rdata[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 2.000 ; 0.779 ; 0.571 ; +; 3.352 ; spi_slave:spi_slave_rx_inst|rdata[28] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.752 ; 1.399 ; +; 3.767 ; spi_slave:spi_slave_rx_inst|rdata[20] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.803 ; 1.035 ; +; 3.830 ; spi_slave:spi_slave_rx_inst|rdata[25] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.737 ; 0.906 ; +; 3.853 ; spi_slave:spi_slave_rx_inst|rdata[24] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.737 ; 0.883 ; +; 3.887 ; spi_slave:spi_slave_rx_inst|rdata[30] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.862 ; 0.974 ; +; 3.895 ; spi_slave:spi_slave_rx_inst|rdata[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.779 ; 0.883 ; +; 3.901 ; spi_slave:spi_slave_rx_inst|rdata[29] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.862 ; 0.960 ; +; 3.909 ; spi_slave:spi_slave_rx_inst|rdata[16] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.846 ; 0.936 ; +; 3.931 ; spi_slave:spi_slave_rx_inst|rdata[23] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.803 ; 0.871 ; +; 3.938 ; spi_slave:spi_slave_rx_inst|rdata[27] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.737 ; 0.798 ; +; 3.939 ; spi_slave:spi_slave_rx_inst|rdata[26] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.737 ; 0.797 ; +; 3.948 ; spi_slave:spi_slave_rx_inst|rdata[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.780 ; 0.831 ; +; 3.957 ; spi_slave:spi_slave_rx_inst|rdata[19] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.846 ; 0.888 ; +; 3.973 ; spi_slave:spi_slave_rx_inst|rdata[31] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.859 ; 0.885 ; +; 3.975 ; spi_slave:spi_slave_rx_inst|rdata[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.779 ; 0.803 ; +; 3.979 ; spi_slave:spi_slave_rx_inst|rdata[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.779 ; 0.799 ; +; 3.986 ; spi_slave:spi_slave_rx_inst|rdata[21] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.803 ; 0.816 ; +; 4.015 ; spi_slave:spi_slave_rx_inst|rdata[22] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.803 ; 0.787 ; +; 4.048 ; spi_slave:spi_slave_rx_inst|rdata[18] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.846 ; 0.797 ; +; 4.058 ; spi_slave:spi_slave_rx_inst|rdata[17] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_datain_reg0 ; spi_sck ; spi_ce0 ; 4.000 ; 0.846 ; 0.787 ; +; 2498.131 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.043 ; 1.919 ; +; 2498.197 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.064 ; 1.874 ; +; 2498.253 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.130 ; 1.624 ; +; 2498.266 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.130 ; 1.611 ; +; 2498.269 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.043 ; 1.781 ; +; 2498.282 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.130 ; 1.595 ; +; 2498.309 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.130 ; 1.568 ; +; 2498.322 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.130 ; 1.555 ; +; 2498.335 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.064 ; 1.736 ; +; 2498.338 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.130 ; 1.539 ; +; 2498.351 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.504 ; +; 2498.364 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.491 ; +; 2498.380 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.475 ; +; 2498.411 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.043 ; 1.639 ; +; 2498.439 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.043 ; 1.611 ; +; 2498.463 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.392 ; +; 2498.463 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.024 ; 1.542 ; +; 2498.468 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.043 ; 1.582 ; +; 2498.470 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.240 ; 1.297 ; +; 2498.473 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.382 ; +; 2498.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.379 ; +; 2498.476 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.379 ; +; 2498.486 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.369 ; +; 2498.489 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.366 ; +; 2498.492 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.363 ; +; 2498.502 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.353 ; +; 2498.505 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.152 ; 1.350 ; +; 2498.505 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.064 ; 1.566 ; +; 2498.508 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.064 ; 1.563 ; +; 2498.524 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.031 ; 1.474 ; +; 2498.534 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.064 ; 1.537 ; +; 2498.549 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.043 ; 1.501 ; +; 2498.564 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a12~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.093 ; 1.372 ; +; 2498.593 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.019 ; 1.417 ; +; 2498.604 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.157 ; 1.246 ; +; 2498.608 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.043 ; 1.442 ; +; 2498.611 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.099 ; 1.319 ; +; 2498.618 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[0] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.240 ; 1.149 ; +; 2498.630 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.037 ; 1.362 ; +; 2498.636 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 2500.000 ; 0.064 ; 1.435 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.653 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.074 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[7] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[8] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[3] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; +; 2498.655 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce0 ; spi_ce0 ; 2500.000 ; -0.072 ; 1.248 ; ++----------+-----------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -4912,106 +4912,106 @@ No paths to report. +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ -; 2.633 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.249 ; 0.980 ; -; 2.641 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.254 ; 0.967 ; -; 2.678 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.227 ; 0.957 ; -; 2.692 ; spi_slave:spi_slave_rx2_inst|rdata[46] ; iambic_mode[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.239 ; 1.046 ; -; 2.693 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.227 ; 0.942 ; -; 2.696 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.220 ; 0.946 ; -; 2.706 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.163 ; 0.993 ; -; 2.707 ; spi_slave:spi_slave_rx2_inst|rdata[47] ; iambic_mode[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.239 ; 1.031 ; -; 2.719 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.162 ; 0.981 ; -; 2.720 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.279 ; 0.863 ; -; 2.721 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.162 ; 0.979 ; -; 2.723 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.155 ; 0.984 ; -; 2.741 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.155 ; 0.966 ; -; 2.750 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.279 ; 0.833 ; -; 2.756 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.279 ; 0.827 ; -; 2.757 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.281 ; 0.824 ; -; 2.765 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.279 ; 0.818 ; -; 2.765 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.219 ; 0.878 ; -; 2.765 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.281 ; 0.816 ; -; 2.780 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.227 ; 0.855 ; -; 2.786 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.220 ; 0.856 ; -; 2.792 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.283 ; 0.787 ; -; 2.794 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.220 ; 0.848 ; -; 2.800 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.270 ; 0.792 ; -; 2.804 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.220 ; 0.838 ; -; 2.805 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.256 ; 0.801 ; -; 2.806 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.227 ; 0.829 ; -; 2.809 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.281 ; 0.772 ; -; 2.811 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.228 ; 0.823 ; -; 2.812 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.220 ; 0.830 ; -; 2.816 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.249 ; 0.797 ; -; 2.817 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.268 ; 0.777 ; -; 2.818 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.227 ; 0.817 ; -; 2.821 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.256 ; 0.785 ; -; 2.821 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.228 ; 0.813 ; -; 2.823 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.231 ; 0.808 ; -; 2.826 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.254 ; 0.782 ; -; 2.827 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.283 ; 0.752 ; -; 2.828 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.281 ; 0.753 ; -; 2.829 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.277 ; 0.756 ; -; 2.831 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.254 ; 0.777 ; -; 2.832 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.275 ; 0.755 ; -; 2.832 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.254 ; 0.776 ; -; 2.833 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.268 ; 0.761 ; -; 2.834 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.162 ; 0.866 ; -; 2.837 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.275 ; 0.750 ; -; 2.837 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.162 ; 0.863 ; -; 2.840 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.249 ; 0.773 ; -; 2.842 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.249 ; 0.771 ; -; 2.843 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.155 ; 0.864 ; -; 2.846 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.155 ; 0.861 ; -; 2.847 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.233 ; 0.782 ; -; 2.847 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.254 ; 0.761 ; -; 2.848 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.256 ; 0.758 ; -; 2.850 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.225 ; 0.787 ; -; 2.854 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.256 ; 0.752 ; -; 2.856 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.155 ; 0.851 ; -; 2.856 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.278 ; 0.728 ; -; 2.859 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.162 ; 0.841 ; -; 2.859 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.254 ; 0.749 ; -; 2.860 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.162 ; 0.840 ; -; 2.862 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.163 ; 0.837 ; -; 2.867 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.162 ; 0.833 ; -; 2.867 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.155 ; 0.840 ; -; 2.868 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.212 ; 0.782 ; -; 2.868 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.246 ; 0.863 ; -; 2.872 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.233 ; 0.757 ; -; 2.874 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.155 ; 0.833 ; -; 2.885 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.246 ; 0.731 ; -; 2.893 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.170 ; 0.799 ; -; 2.907 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.160 ; 0.795 ; -; 2.908 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.283 ; 0.671 ; -; 2.913 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.281 ; 0.668 ; -; 2.913 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.285 ; 0.664 ; -; 2.913 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.246 ; 0.818 ; -; 2.914 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.169 ; 0.894 ; -; 2.920 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.166 ; 0.891 ; -; 2.930 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.283 ; 0.649 ; -; 2.931 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.285 ; 0.646 ; -; 2.935 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.275 ; 0.652 ; -; 2.935 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.275 ; 0.652 ; -; 2.935 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.281 ; 0.646 ; -; 2.937 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.268 ; 0.657 ; -; 2.939 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.247 ; 0.791 ; -; 2.940 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.168 ; 0.754 ; -; 2.942 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.168 ; 0.752 ; -; 2.943 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.168 ; 0.751 ; -; 2.944 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.268 ; 0.650 ; -; 2.945 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.256 ; 0.661 ; -; 2.945 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.244 ; 0.788 ; -; 2.946 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.168 ; 0.748 ; -; 2.950 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.168 ; 0.744 ; -; 2.954 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.275 ; 0.633 ; -; 2.955 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.277 ; 0.630 ; -; 2.958 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.275 ; 0.629 ; -; 2.961 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.249 ; 0.652 ; -; 2.963 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.256 ; 0.643 ; -; 2.964 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.278 ; 0.620 ; -; 2.968 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.249 ; 0.645 ; -; 2.968 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.256 ; 0.638 ; +; 2.443 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.192 ; 1.227 ; +; 2.459 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.247 ; +; 2.465 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.085 ; 1.312 ; +; 2.472 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.263 ; 1.242 ; +; 2.473 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.083 ; 1.306 ; +; 2.489 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.215 ; +; 2.495 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.211 ; +; 2.497 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.209 ; +; 2.504 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.194 ; 1.164 ; +; 2.506 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.198 ; +; 2.512 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.192 ; +; 2.515 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.085 ; 1.262 ; +; 2.521 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.183 ; +; 2.526 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.258 ; +; 2.538 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.168 ; +; 2.539 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.211 ; +; 2.540 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.187 ; 1.135 ; +; 2.542 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.083 ; 1.237 ; +; 2.544 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.162 ; +; 2.549 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.157 ; +; 2.557 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.147 ; +; 2.558 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.064 ; 1.240 ; +; 2.559 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.191 ; +; 2.559 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.145 ; +; 2.562 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.222 ; +; 2.562 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.222 ; +; 2.564 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; tx_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.064 ; 1.234 ; +; 2.568 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.110 ; 1.184 ; +; 2.580 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.204 ; +; 2.600 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.151 ; 1.111 ; +; 2.603 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.147 ; +; 2.604 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.146 ; +; 2.607 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.143 ; +; 2.612 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.151 ; 1.099 ; +; 2.613 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.091 ; +; 2.635 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; tx_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.187 ; 1.040 ; +; 2.640 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.151 ; 1.071 ; +; 2.643 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.141 ; +; 2.645 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.105 ; +; 2.646 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.223 ; 0.993 ; +; 2.646 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.058 ; +; 2.649 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.057 ; +; 2.651 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.253 ; 0.958 ; +; 2.655 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.151 ; 1.056 ; +; 2.655 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.158 ; 1.049 ; +; 2.656 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.050 ; +; 2.658 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.105 ; 1.099 ; +; 2.658 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.092 ; +; 2.674 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.151 ; 1.037 ; +; 2.676 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.253 ; 0.933 ; +; 2.676 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.074 ; +; 2.678 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.223 ; 0.961 ; +; 2.679 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.284 ; 0.899 ; +; 2.681 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.211 ; 0.970 ; +; 2.682 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.156 ; 1.024 ; +; 2.683 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.067 ; +; 2.683 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.101 ; +; 2.687 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.211 ; 0.964 ; +; 2.689 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.211 ; 0.962 ; +; 2.691 ; spi_slave:spi_slave_rx2_inst|rdata[38] ; keyer_weight[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.291 ; 0.880 ; +; 2.697 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.053 ; +; 2.700 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.200 ; 0.962 ; +; 2.702 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.048 ; +; 2.703 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.214 ; 0.945 ; +; 2.706 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.105 ; 1.051 ; +; 2.710 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.214 ; 0.938 ; +; 2.710 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.151 ; 1.001 ; +; 2.710 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.074 ; +; 2.713 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.211 ; 0.938 ; +; 2.716 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.034 ; +; 2.722 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 1.028 ; +; 2.734 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.050 ; +; 2.736 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.048 ; +; 2.740 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.078 ; 1.044 ; +; 2.749 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.332 ; 0.781 ; +; 2.753 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 0.997 ; +; 2.755 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.323 ; 0.784 ; +; 2.764 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.071 ; 1.027 ; +; 2.769 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.326 ; 0.767 ; +; 2.769 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.296 ; 0.797 ; +; 2.771 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.296 ; 0.795 ; +; 2.778 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.296 ; 0.788 ; +; 2.779 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.253 ; 0.830 ; +; 2.783 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.253 ; 0.826 ; +; 2.786 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.296 ; 0.780 ; +; 2.786 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.320 ; 0.756 ; +; 2.786 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.287 ; 0.789 ; +; 2.789 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.296 ; 0.777 ; +; 2.790 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.284 ; 0.788 ; +; 2.794 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.112 ; 0.956 ; +; 2.796 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.291 ; 0.775 ; +; 2.796 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.253 ; 0.813 ; +; 2.796 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.287 ; 0.779 ; +; 2.799 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.211 ; 0.852 ; +; 2.801 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.291 ; 0.770 ; +; 2.803 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.287 ; 0.772 ; +; 2.806 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.296 ; 0.760 ; +; 2.806 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.292 ; 0.764 ; +; 2.806 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.296 ; 0.760 ; +; 2.807 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 4.000 ; -0.069 ; 0.986 ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ @@ -5020,106 +5020,106 @@ No paths to report. +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -; 2.854 ; spi_slave:spi_slave_rx_inst|rdata[34] ; rx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.359 ; 0.764 ; -; 2.855 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.359 ; 0.763 ; -; 2.901 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.359 ; 0.717 ; -; 2.902 ; spi_slave:spi_slave_rx_inst|rdata[37] ; rx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.216 ; 0.859 ; -; 2.902 ; spi_slave:spi_slave_rx_inst|rdata[34] ; tx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.359 ; 0.716 ; -; 2.917 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.359 ; 0.701 ; -; 2.934 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.407 ; 1.450 ; -; 2.965 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.359 ; 0.653 ; -; 2.968 ; spi_slave:spi_slave_rx_inst|rdata[33] ; tx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.359 ; 0.650 ; -; 2.973 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 1.418 ; -; 2.974 ; spi_slave:spi_slave_rx_inst|rdata[32] ; rx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.623 ; 0.380 ; -; 2.981 ; spi_slave:spi_slave_rx_inst|rdata[36] ; tx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.346 ; 0.650 ; -; 2.982 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.405 ; 1.400 ; -; 3.000 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.337 ; 0.640 ; -; 3.008 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.346 ; 1.200 ; -; 3.017 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.422 ; 1.382 ; -; 3.025 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.422 ; 1.374 ; -; 3.031 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.413 ; 1.359 ; -; 3.042 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 1.349 ; -; 3.048 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.203 ; 0.726 ; -; 3.084 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.348 ; 1.126 ; -; 3.106 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.362 ; 1.118 ; -; 3.122 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.363 ; 1.103 ; -; 3.140 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.422 ; 1.259 ; -; 3.144 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.348 ; 1.066 ; -; 3.146 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.385 ; 1.216 ; -; 3.167 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.406 ; 1.216 ; -; 3.174 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.385 ; 1.188 ; -; 3.177 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.386 ; 1.186 ; -; 3.185 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.405 ; 1.197 ; -; 3.200 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.362 ; 1.024 ; -; 3.218 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.348 ; 0.992 ; -; 3.218 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.326 ; 0.970 ; -; 3.226 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.363 ; 0.999 ; -; 3.227 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.982 ; -; 3.230 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.406 ; 1.153 ; -; 3.233 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.405 ; 1.149 ; -; 3.236 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.973 ; -; 3.246 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.963 ; -; 3.246 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 1.145 ; -; 3.248 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.406 ; 1.135 ; -; 3.248 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.348 ; 0.962 ; -; 3.250 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.370 ; 0.982 ; -; 3.251 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.362 ; 0.973 ; -; 3.256 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.405 ; 1.126 ; -; 3.272 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.407 ; 1.112 ; -; 3.278 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.348 ; 0.932 ; -; 3.285 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.407 ; 1.099 ; -; 3.287 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 1.104 ; -; 3.293 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.924 ; -; 3.294 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.363 ; 0.931 ; -; 3.297 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.386 ; 1.066 ; -; 3.299 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.407 ; 1.085 ; -; 3.313 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.346 ; 0.895 ; -; 3.348 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.405 ; 1.034 ; -; 3.351 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 1.040 ; -; 3.360 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.327 ; 0.829 ; -; 3.372 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.411 ; 1.016 ; -; 3.373 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.405 ; 1.009 ; -; 3.376 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 1.015 ; -; 3.377 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 1.014 ; -; 3.382 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.405 ; 1.000 ; -; 3.396 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.411 ; 0.992 ; -; 3.402 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.326 ; 0.786 ; -; 3.405 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.327 ; 0.784 ; -; 3.409 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.800 ; -; 3.413 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.803 ; -; 3.414 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.406 ; 0.969 ; -; 3.415 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.346 ; 0.793 ; -; 3.415 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.802 ; -; 3.416 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.363 ; 0.809 ; -; 3.419 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.797 ; -; 3.420 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.796 ; -; 3.421 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.348 ; 0.789 ; -; 3.421 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.795 ; -; 3.422 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.414 ; 0.969 ; -; 3.422 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.794 ; -; 3.423 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.345 ; 0.784 ; -; 3.423 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.786 ; -; 3.424 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.793 ; -; 3.427 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.345 ; 0.780 ; -; 3.431 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.778 ; -; 3.431 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.785 ; -; 3.431 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.778 ; -; 3.431 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.786 ; -; 3.432 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.347 ; 0.777 ; -; 3.432 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.784 ; -; 3.433 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.783 ; -; 3.437 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.346 ; 0.771 ; -; 3.437 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.345 ; 0.770 ; -; 3.439 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.345 ; 0.768 ; -; 3.439 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.354 ; 0.777 ; -; 3.440 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.777 ; -; 3.440 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.777 ; -; 3.441 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.345 ; 0.766 ; -; 3.444 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.346 ; 0.764 ; -; 3.445 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.772 ; -; 3.445 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.345 ; 0.762 ; -; 3.446 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.355 ; 0.771 ; -; 3.446 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.345 ; 0.761 ; +; 2.815 ; spi_slave:spi_slave_rx_inst|rdata[34] ; rx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.433 ; 0.729 ; +; 2.824 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.460 ; 0.693 ; +; 2.828 ; spi_slave:spi_slave_rx_inst|rdata[36] ; tx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.460 ; 0.689 ; +; 2.852 ; spi_slave:spi_slave_rx_inst|rdata[33] ; tx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.460 ; 0.665 ; +; 2.858 ; spi_slave:spi_slave_rx_inst|rdata[37] ; rx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.433 ; 0.686 ; +; 2.869 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.325 ; 1.433 ; +; 2.879 ; spi_slave:spi_slave_rx_inst|rdata[34] ; tx_gain[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.460 ; 0.638 ; +; 2.880 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.323 ; 1.420 ; +; 2.882 ; spi_slave:spi_slave_rx_inst|rdata[32] ; rx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.433 ; 0.662 ; +; 2.887 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.433 ; 0.657 ; +; 2.892 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.262 ; 1.232 ; +; 2.892 ; spi_slave:spi_slave_rx_inst|rdata[33] ; rx_gain[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.433 ; 0.652 ; +; 2.928 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.460 ; 0.589 ; +; 2.952 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.326 ; 1.351 ; +; 2.966 ; spi_slave:spi_slave_rx_inst|rdata[37] ; tx_gain[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.313 ; 0.698 ; +; 2.982 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.317 ; 1.312 ; +; 2.992 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.265 ; 1.135 ; +; 3.005 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.326 ; 1.298 ; +; 3.023 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; -0.433 ; 0.521 ; +; 3.029 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.323 ; 1.271 ; +; 3.031 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.323 ; 1.269 ; +; 3.031 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 1.091 ; +; 3.033 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.326 ; 1.270 ; +; 3.048 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.211 ; 1.140 ; +; 3.076 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.326 ; 1.227 ; +; 3.078 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.213 ; 1.112 ; +; 3.088 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.314 ; 1.203 ; +; 3.089 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.317 ; 1.205 ; +; 3.092 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.153 ; 0.923 ; +; 3.096 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.321 ; 1.202 ; +; 3.104 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.320 ; 1.193 ; +; 3.107 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.323 ; 1.193 ; +; 3.110 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 1.012 ; +; 3.122 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.153 ; 0.893 ; +; 3.125 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.317 ; 1.169 ; +; 3.126 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.323 ; 1.174 ; +; 3.140 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.213 ; 1.050 ; +; 3.142 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.257 ; 0.977 ; +; 3.147 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.318 ; 1.148 ; +; 3.149 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.262 ; 0.975 ; +; 3.154 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.262 ; 0.970 ; +; 3.158 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.262 ; 0.966 ; +; 3.169 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.323 ; 1.131 ; +; 3.173 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.952 ; +; 3.173 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 0.949 ; +; 3.177 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.265 ; 0.950 ; +; 3.185 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.262 ; 0.939 ; +; 3.185 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.320 ; 1.112 ; +; 3.186 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.317 ; 1.108 ; +; 3.200 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.206 ; 0.983 ; +; 3.206 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 0.916 ; +; 3.208 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.320 ; 1.089 ; +; 3.210 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.211 ; 0.978 ; +; 3.210 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.150 ; 0.802 ; +; 3.211 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.317 ; 1.083 ; +; 3.225 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.153 ; 0.790 ; +; 3.228 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.323 ; 1.072 ; +; 3.232 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.267 ; 0.897 ; +; 3.232 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.321 ; 1.066 ; +; 3.236 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.320 ; 1.061 ; +; 3.236 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.150 ; 0.776 ; +; 3.238 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.150 ; 0.774 ; +; 3.238 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.150 ; 0.774 ; +; 3.241 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.321 ; 1.057 ; +; 3.256 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.150 ; 0.756 ; +; 3.264 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.153 ; 0.751 ; +; 3.270 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.153 ; 0.745 ; +; 3.272 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.321 ; 1.026 ; +; 3.300 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.264 ; 0.826 ; +; 3.303 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.321 ; 0.995 ; +; 3.320 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.324 ; 0.981 ; +; 3.321 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.264 ; 0.805 ; +; 3.321 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.264 ; 0.805 ; +; 3.328 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 0.794 ; +; 3.332 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.793 ; +; 3.336 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 0.786 ; +; 3.336 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.789 ; +; 3.338 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.787 ; +; 3.339 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 0.784 ; +; 3.340 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.264 ; 0.786 ; +; 3.341 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 0.782 ; +; 3.342 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 0.780 ; +; 3.342 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 0.781 ; +; 3.343 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.264 ; 0.783 ; +; 3.343 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.782 ; +; 3.343 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.782 ; +; 3.344 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.781 ; +; 3.346 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 0.776 ; +; 3.350 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.260 ; 0.772 ; +; 3.351 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 0.772 ; +; 3.352 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.773 ; +; 3.354 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.771 ; +; 3.354 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 0.769 ; +; 3.354 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 0.769 ; +; 3.355 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.770 ; +; 3.361 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.764 ; +; 3.362 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.265 ; 0.765 ; +; 3.362 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.261 ; 0.761 ; +; 3.363 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.762 ; +; 3.363 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 4.000 ; 0.263 ; 0.762 ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ @@ -5128,32 +5128,32 @@ No paths to report. +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; 3.284 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.090 ; 3.469 ; -; 3.426 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.175 ; 3.519 ; -; 3.479 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.085 ; 3.269 ; -; 3.534 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.121 ; 3.256 ; -; 3.618 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.093 ; 3.237 ; -; 3.635 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.119 ; 3.152 ; -; 3.656 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.167 ; 3.282 ; -; 3.661 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.118 ; 3.125 ; -; 3.728 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.092 ; 3.122 ; -; 3.778 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.118 ; 3.008 ; -; 3.416 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.118 ; 3.365 ; -; 3.843 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.177 ; 3.106 ; -; 3.876 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.178 ; 3.074 ; -; 8.675 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.090 ; 3.078 ; -; 8.829 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.085 ; 2.919 ; -; 8.859 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.175 ; 3.086 ; -; 8.892 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.118 ; 2.894 ; -; 8.904 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.093 ; 2.951 ; -; 8.908 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.121 ; 2.882 ; -; 8.919 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.177 ; 3.030 ; -; 8.947 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.178 ; 3.003 ; -; 8.959 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.118 ; 2.827 ; -; 8.800 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.118 ; 2.981 ; -; 8.955 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.119 ; 2.832 ; -; 9.025 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.167 ; 2.913 ; -; 9.077 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.092 ; 2.773 ; +; 3.213 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.134 ; 3.584 ; +; 3.227 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.124 ; 3.655 ; +; 3.257 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.125 ; 3.531 ; +; 3.262 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.137 ; 3.633 ; +; 3.281 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.131 ; 3.613 ; +; 3.323 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.135 ; 3.571 ; +; 3.379 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.131 ; 3.515 ; +; 3.321 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.130 ; 3.572 ; +; 3.346 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.132 ; 3.548 ; +; 3.381 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.136 ; 3.513 ; +; 3.553 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.132 ; 3.342 ; +; 3.576 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.132 ; 3.223 ; +; 3.704 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 5.000 ; 2.117 ; 3.172 ; +; 8.572 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.130 ; 3.321 ; +; 8.579 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.132 ; 3.220 ; +; 8.605 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.131 ; 3.289 ; +; 8.642 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.124 ; 3.240 ; +; 8.642 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.131 ; 3.252 ; +; 8.643 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.136 ; 3.251 ; +; 8.646 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.134 ; 3.151 ; +; 8.674 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.132 ; 3.220 ; +; 8.674 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.125 ; 3.114 ; +; 8.586 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.137 ; 3.309 ; +; 8.748 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.117 ; 3.128 ; +; 8.752 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.135 ; 3.142 ; +; 8.754 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 10.000 ; 2.132 ; 3.141 ; +-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ @@ -5162,127 +5162,127 @@ No paths to report. +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -; 5.943 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.992 ; 5.045 ; -; 6.007 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.010 ; 4.963 ; -; 6.116 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.080 ; 4.784 ; -; 6.313 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.010 ; 4.657 ; -; 7.814 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.334 ; 2.832 ; -; 8.005 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.080 ; 2.895 ; -; 8.010 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.080 ; 2.890 ; -; 8.033 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.992 ; 2.955 ; -; 8.205 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.992 ; 2.783 ; -; 8.308 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.992 ; 2.680 ; -; 8.397 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.992 ; 2.591 ; -; 8.403 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.992 ; 2.585 ; +; 5.586 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.189 ; 5.205 ; +; 6.147 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.864 ; 4.969 ; +; 6.171 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.942 ; 4.867 ; +; 6.259 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.942 ; 4.779 ; +; 7.185 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.189 ; 3.606 ; +; 7.396 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.333 ; 3.251 ; +; 8.077 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.942 ; 2.961 ; +; 8.134 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.942 ; 2.904 ; +; 8.155 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -2.172 ; 2.653 ; +; 8.156 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.942 ; 2.882 ; +; 8.244 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.942 ; 2.794 ; +; 8.273 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; 18.000 ; -1.966 ; 2.741 ; +-------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -+-----------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'clk_10mhz' ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ -; 96.266 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.359 ; 3.362 ; -; 96.338 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.296 ; -; 96.338 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.296 ; -; 96.338 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.296 ; -; 96.338 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.296 ; -; 96.338 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.296 ; -; 96.338 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.296 ; -; 96.338 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.296 ; -; 96.434 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.359 ; 3.194 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.495 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 3.131 ; -; 96.506 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.128 ; -; 96.506 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.128 ; -; 96.506 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.128 ; -; 96.506 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.128 ; -; 96.506 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.128 ; -; 96.506 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.128 ; -; 96.506 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.353 ; 3.128 ; -; 96.524 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.341 ; 3.122 ; -; 96.596 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 3.056 ; -; 96.596 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 3.056 ; -; 96.596 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 3.056 ; -; 96.596 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 3.056 ; -; 96.596 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 3.056 ; -; 96.596 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 3.056 ; -; 96.596 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 3.056 ; -; 96.619 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.341 ; 3.027 ; -; 96.648 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.341 ; 2.998 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.663 ; prev_rx_gain[5] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.361 ; 2.963 ; -; 96.691 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.961 ; -; 96.691 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.961 ; -; 96.691 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.961 ; -; 96.691 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.961 ; -; 96.691 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.961 ; -; 96.691 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.961 ; -; 96.691 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.961 ; -; 96.700 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.341 ; 2.946 ; -; 96.720 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.932 ; -; 96.720 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.932 ; -; 96.720 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.932 ; -; 96.720 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.932 ; -; 96.720 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.932 ; -; 96.720 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.932 ; -; 96.720 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.932 ; -; 96.727 ; prev_rx_gain[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.162 ; 3.098 ; -; 96.731 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.341 ; 2.915 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.753 ; prev_tx_gain[3] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.891 ; -; 96.761 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_state.1 ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.341 ; 2.885 ; -; 96.772 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.880 ; -; 96.772 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.880 ; -; 96.772 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.880 ; -; 96.772 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.880 ; -; 96.772 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.880 ; -; 96.772 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.880 ; -; 96.772 ; prev_tx_gain[2] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.880 ; -; 96.803 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.849 ; -; 96.803 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.849 ; -; 96.803 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.849 ; -; 96.803 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.849 ; -; 96.803 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.849 ; -; 96.803 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.849 ; -; 96.803 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.849 ; -; 96.833 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.819 ; -; 96.833 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.819 ; -; 96.833 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.819 ; -; 96.833 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.819 ; -; 96.833 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.819 ; -; 96.833 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.819 ; -; 96.833 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.335 ; 2.819 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.848 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.796 ; -; 96.877 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.767 ; -; 96.877 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.767 ; -; 96.877 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.343 ; 2.767 ; -+--------+-----------------+----------------------------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Setup: 'clk_10mhz' ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.870 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.824 ; +; 96.976 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.718 ; +; 96.976 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.718 ; +; 96.976 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.718 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 96.983 ; prev_tx_gain[1] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.655 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.013 ; prev_tx_gain[0] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.625 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.021 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.293 ; 2.673 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.032 ; prev_tx_gain[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.606 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.034 ; prev_rx_gain[0] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.584 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[0] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.040 ; prev_rx_gain[2] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.369 ; 2.578 ; +; 97.063 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.575 ; +; 97.063 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.575 ; +; 97.063 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.575 ; +; 97.063 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.575 ; +; 97.063 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.575 ; +; 97.063 ; prev_tx_gain[4] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 100.000 ; -0.349 ; 2.575 ; ++--------+-------------------------------+----------------------------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -5290,106 +5290,106 @@ No paths to report. +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 2498.420 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.012 ; 1.599 ; -; 2498.447 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.012 ; 1.572 ; -; 2498.484 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.166 ; 1.379 ; -; 2498.493 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.204 ; 1.310 ; -; 2498.532 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.129 ; 1.368 ; -; 2498.585 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.166 ; 1.278 ; -; 2498.594 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.204 ; 1.209 ; -; 2498.633 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.129 ; 1.267 ; -; 2498.636 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 1.314 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.661 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.066 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[8] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[7] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[6] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.065 ; 1.248 ; -; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 1.287 ; -; 2498.698 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.012 ; 1.321 ; -; 2498.702 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.131 ; 1.196 ; -; 2498.706 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.097 ; 1.226 ; -; 2498.716 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.012 ; 1.303 ; -; 2498.735 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.096 ; 1.368 ; -; 2498.762 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.096 ; 1.341 ; -; 2498.774 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.060 ; 1.195 ; -; 2498.803 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.034 ; 1.238 ; -; 2498.803 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.131 ; 1.095 ; -; 2498.826 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.034 ; 1.215 ; -; 2498.837 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 1.113 ; -; 2498.864 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 1.086 ; -; 2498.883 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.131 ; 1.015 ; -; 2498.914 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 1.036 ; -; 2498.923 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.166 ; 0.940 ; -; 2498.932 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 1.018 ; -; 2498.968 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.062 ; 0.999 ; -; 2498.972 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.129 ; 0.928 ; -; 2498.981 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.969 ; -; 2499.006 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.012 ; 1.013 ; -; 2499.008 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.942 ; -; 2499.009 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.131 ; 0.889 ; -; 2499.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.096 ; 1.090 ; -; 2499.016 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.129 ; 0.884 ; -; 2499.022 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.028 ; 0.957 ; -; 2499.031 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.096 ; 1.072 ; -; 2499.040 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.179 ; 0.788 ; -; 2499.041 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.145 ; 0.821 ; -; 2499.050 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.034 ; 0.991 ; -; 2499.064 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.012 ; 0.955 ; -; 2499.071 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.034 ; 0.970 ; -; 2499.080 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.870 ; -; 2499.081 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.869 ; -; 2499.082 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.868 ; -; 2499.088 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.862 ; -; 2499.103 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.847 ; -; 2499.104 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.846 ; -; 2499.108 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.131 ; 0.790 ; -; 2499.109 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.057 ; 0.841 ; -; 2499.110 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.204 ; 0.693 ; -; 2499.111 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.129 ; 0.789 ; -; 2499.112 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.179 ; 0.716 ; +; 2497.734 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.060 ; 2.213 ; +; 2497.736 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.060 ; 2.211 ; +; 2497.849 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.060 ; 2.098 ; +; 2497.851 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.060 ; 2.096 ; +; 2497.945 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.060 ; 2.002 ; +; 2498.012 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.031 ; 1.964 ; +; 2498.014 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.031 ; 1.962 ; +; 2498.060 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.060 ; 1.887 ; +; 2498.223 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.031 ; 1.753 ; +; 2498.230 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.210 ; 1.567 ; +; 2498.232 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.210 ; 1.565 ; +; 2498.396 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.367 ; +; 2498.398 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.365 ; +; 2498.402 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.741 ; +; 2498.420 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.210 ; 1.377 ; +; 2498.451 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.312 ; +; 2498.453 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.310 ; +; 2498.474 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.224 ; 1.309 ; +; 2498.515 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.628 ; +; 2498.568 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.195 ; +; 2498.570 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.193 ; +; 2498.573 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.224 ; 1.210 ; +; 2498.578 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.565 ; +; 2498.581 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.182 ; +; 2498.583 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.180 ; +; 2498.605 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.218 ; 1.642 ; +; 2498.656 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.487 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[32] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[44] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[40] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[31] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[34] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[30] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.657 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.070 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[29] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[28] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[25] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[24] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[23] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[22] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[26] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[21] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[20] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[19] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[18] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[17] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[16] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[15] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[14] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[13] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[12] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.660 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[27] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.067 ; 1.248 ; +; 2498.662 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 1.101 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[11] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[10] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[9] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[8] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[7] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[6] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[4] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[2] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[0] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.064 ; 1.248 ; +; 2498.676 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.467 ; +; 2498.680 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.165 ; 1.492 ; +; 2498.681 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.462 ; +; 2498.731 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.283 ; 0.993 ; +; 2498.748 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.395 ; +; 2498.765 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.136 ; 1.378 ; +; 2498.765 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.088 ; 1.352 ; +; 2498.766 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.224 ; 1.017 ; +; 2498.767 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 0.996 ; +; 2498.792 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 0.971 ; +; 2498.792 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.244 ; 0.971 ; +; 2498.802 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.292 ; 0.913 ; +; 2498.806 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.096 ; 1.127 ; +; 2498.837 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.283 ; 0.887 ; +; 2498.839 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.034 ; 1.224 ; +; 2498.847 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.014 ; 1.146 ; +; 2498.848 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.165 ; 1.324 ; +; 2498.854 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.041 ; 1.134 ; +; 2498.868 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.143 ; 1.304 ; +; 2498.912 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.034 ; 1.151 ; +; 2498.920 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.165 ; 1.252 ; +; 2498.933 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.172 ; 1.268 ; +; 2498.937 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 2500.000 ; 0.165 ; 1.235 ; +; 2498.946 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 2500.000 ; -0.224 ; 0.837 ; +----------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ @@ -5398,323 +5398,215 @@ No paths to report. +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.186 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 1.896 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2602.456 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 1.634 ; -; 2603.309 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.071 ; 0.773 ; -; 2603.607 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.072 ; 0.474 ; -; 2603.607 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.072 ; 0.474 ; -; 5205.505 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.779 ; -; 5205.505 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.779 ; -; 5205.505 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.779 ; -; 5205.505 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.779 ; -; 5205.524 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.760 ; -; 5205.524 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.760 ; -; 5205.524 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.760 ; -; 5205.524 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.760 ; -; 5205.524 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.760 ; -; 5205.524 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.760 ; -; 5205.630 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.654 ; -; 5205.630 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.654 ; -; 5205.630 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.654 ; -; 5205.630 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.654 ; -; 5205.649 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.635 ; -; 5205.649 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.635 ; -; 5205.649 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.635 ; -; 5205.649 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.635 ; -; 5205.649 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.635 ; -; 5205.649 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.635 ; -; 5205.708 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.576 ; -; 5205.708 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.576 ; -; 5205.708 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.576 ; -; 5205.708 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.576 ; -; 5205.716 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.568 ; -; 5205.716 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.568 ; -; 5205.716 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.568 ; -; 5205.716 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.568 ; -; 5205.727 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.557 ; -; 5205.727 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.557 ; -; 5205.727 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.557 ; -; 5205.727 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.557 ; -; 5205.727 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.557 ; -; 5205.727 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.557 ; -; 5205.735 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.549 ; -; 5205.735 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.549 ; -; 5205.735 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.549 ; -; 5205.735 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.549 ; -; 5205.735 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.549 ; -; 5205.735 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.549 ; -; 5205.759 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.525 ; -; 5205.759 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.525 ; -; 5205.759 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.525 ; -; 5205.759 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.525 ; -; 5205.761 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.523 ; -; 5205.761 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.523 ; -; 5205.761 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.523 ; -; 5205.761 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.523 ; -; 5205.778 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.506 ; -; 5205.778 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.506 ; -; 5205.778 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.506 ; -; 5205.778 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.506 ; -; 5205.778 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.506 ; -; 5205.778 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.506 ; -; 5205.780 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.504 ; -; 5205.780 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.504 ; -; 5205.780 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.504 ; -; 5205.780 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.504 ; -; 5205.780 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.504 ; -; 5205.780 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.504 ; -; 5205.856 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.428 ; -; 5205.856 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.428 ; -; 5205.856 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.428 ; -; 5205.856 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.428 ; -; 5205.867 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.417 ; -; 5205.867 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.417 ; -; 5205.867 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.417 ; -; 5205.867 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.417 ; -; 5205.875 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.409 ; -; 5205.875 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.409 ; -; 5205.875 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.409 ; -; 5205.875 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.409 ; -; 5205.875 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.409 ; -; 5205.875 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.409 ; -; 5205.886 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.398 ; -; 5205.886 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.398 ; -; 5205.886 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.398 ; -; 5205.886 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.398 ; -; 5205.886 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.398 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2601.894 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 2.196 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2602.096 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.064 ; 1.993 ; +; 2603.107 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 0.983 ; +; 2603.107 ; profile:profile_CW|enable_hang ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 0.983 ; +; 2603.146 ; profile:profile_CW|char_PTT ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2604.166 ; -0.063 ; 0.944 ; +; 5204.987 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.298 ; +; 5204.987 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.298 ; +; 5204.987 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.298 ; +; 5204.987 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.298 ; +; 5205.067 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.217 ; +; 5205.067 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.217 ; +; 5205.067 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.217 ; +; 5205.067 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.217 ; +; 5205.067 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.217 ; +; 5205.067 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.217 ; +; 5205.142 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.143 ; +; 5205.142 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.143 ; +; 5205.142 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.143 ; +; 5205.142 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.143 ; +; 5205.222 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.062 ; +; 5205.222 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.063 ; +; 5205.222 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.063 ; +; 5205.222 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.062 ; +; 5205.222 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.062 ; +; 5205.222 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.062 ; +; 5205.222 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.062 ; +; 5205.222 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.063 ; +; 5205.222 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.063 ; +; 5205.222 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 3.062 ; +; 5205.258 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.027 ; +; 5205.258 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.027 ; +; 5205.258 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.027 ; +; 5205.258 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 3.027 ; +; 5205.302 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.982 ; +; 5205.302 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.982 ; +; 5205.302 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.982 ; +; 5205.302 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.982 ; +; 5205.302 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.982 ; +; 5205.302 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.982 ; +; 5205.338 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.946 ; +; 5205.338 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.946 ; +; 5205.338 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.946 ; +; 5205.338 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.946 ; +; 5205.338 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.946 ; +; 5205.338 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.946 ; +; 5205.409 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.044 ; 2.867 ; +; 5205.540 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.745 ; +; 5205.540 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.745 ; +; 5205.540 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.745 ; +; 5205.540 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.745 ; +; 5205.553 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.732 ; +; 5205.553 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.732 ; +; 5205.553 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.732 ; +; 5205.553 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.732 ; +; 5205.564 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.044 ; 2.712 ; +; 5205.602 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.683 ; +; 5205.602 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.683 ; +; 5205.602 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.683 ; +; 5205.602 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.683 ; +; 5205.620 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.664 ; +; 5205.620 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.664 ; +; 5205.620 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.664 ; +; 5205.620 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.664 ; +; 5205.620 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.664 ; +; 5205.620 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.664 ; +; 5205.633 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.651 ; +; 5205.633 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.651 ; +; 5205.633 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.651 ; +; 5205.633 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.651 ; +; 5205.633 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.651 ; +; 5205.633 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.651 ; +; 5205.635 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.650 ; +; 5205.635 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.650 ; +; 5205.635 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.650 ; +; 5205.635 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.650 ; +; 5205.644 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.044 ; 2.632 ; +; 5205.680 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.044 ; 2.596 ; +; 5205.682 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.602 ; +; 5205.682 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.602 ; +; 5205.682 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.602 ; +; 5205.682 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.602 ; +; 5205.682 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.602 ; +; 5205.682 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.036 ; 2.602 ; +; 5205.714 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 5208.333 ; -0.035 ; 2.571 ; +----------+-------------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.158 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.127 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.162 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 3.124 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.233 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.051 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.237 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.048 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.241 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.043 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.245 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 3.040 ; -; 33330.303 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|keyer_out ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.032 ; 2.985 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.315 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.970 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.319 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.967 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.372 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.913 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.376 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.034 ; 2.910 ; -; 33330.393 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|keyer_out ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.033 ; 2.894 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -; 33330.401 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 2.883 ; -+-----------+------------------------------+------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'spi_ce0' ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.048 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.349 ; 0.501 ; -; 0.173 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.060 ; 0.317 ; -; 0.174 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.060 ; 0.318 ; -; 0.180 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.061 ; 0.325 ; -; 0.181 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.061 ; 0.326 ; -; 0.182 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.061 ; 0.327 ; -; 0.182 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.061 ; 0.327 ; -; 0.194 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.029 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; -; 0.196 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; -; 0.196 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; -; 0.196 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; -; 0.197 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.307 ; -; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.307 ; -; 0.200 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.332 ; 0.636 ; -; 0.201 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.335 ; -; 0.201 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.029 ; 0.314 ; -; 0.203 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.337 ; -; 0.204 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.314 ; -; 0.209 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.320 ; 0.633 ; -; 0.215 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.326 ; -; 0.216 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.327 ; -; 0.217 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.029 ; 0.330 ; -; 0.217 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.327 ; -; 0.221 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.333 ; -; 0.226 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.203 ; 0.533 ; -; 0.227 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.257 ; 0.568 ; -; 0.230 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.257 ; 0.571 ; -; 0.230 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.317 ; -; 0.232 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.009 ; 0.325 ; -; 0.232 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.319 ; -; 0.241 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.005 ; 0.330 ; -; 0.241 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.174 ; 0.519 ; -; 0.241 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.328 ; -; 0.244 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.286 ; 0.634 ; -; 0.255 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.228 ; 0.567 ; -; 0.255 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.060 ; 0.399 ; -; 0.258 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.286 ; 0.648 ; -; 0.259 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.393 ; -; 0.259 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.228 ; 0.571 ; -; 0.260 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.029 ; 0.373 ; -; 0.261 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.228 ; 0.573 ; -; 0.262 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.174 ; 0.540 ; -; 0.266 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.278 ; 0.648 ; -; 0.268 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.286 ; 0.658 ; -; 0.269 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.174 ; 0.547 ; -; 0.272 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a8~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.293 ; 0.669 ; -; 0.273 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.290 ; 0.667 ; -; 0.282 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a4~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.290 ; 0.676 ; -; 0.283 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.417 ; -; 0.284 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.029 ; 0.397 ; -; 0.286 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a0~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.275 ; 0.665 ; -; 0.287 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.029 ; 0.400 ; -; 0.289 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.174 ; 0.567 ; -; 0.290 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.377 ; -; 0.291 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.115 ; 0.510 ; -; 0.292 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.115 ; 0.511 ; -; 0.299 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.115 ; 0.518 ; -; 0.299 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.395 ; -; 0.300 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.005 ; 0.389 ; -; 0.301 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.029 ; 0.414 ; -; 0.302 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.398 ; -; 0.306 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.349 ; 0.759 ; -; 0.307 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.203 ; 0.614 ; -; 0.307 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.394 ; -; 0.310 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.115 ; 0.529 ; -; 0.316 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.005 ; 0.405 ; -; 0.317 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.060 ; 0.461 ; -; 0.321 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.433 ; -; 0.323 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.435 ; -; 0.324 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.436 ; -; 0.327 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.439 ; -; 0.328 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.437 ; -; 0.330 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.442 ; -; 0.331 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.440 ; -; 0.331 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.186 ; 0.621 ; -; 0.332 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.442 ; -; 0.335 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.208 ; 0.627 ; -; 0.336 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.332 ; 0.772 ; -; 0.337 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.186 ; 0.627 ; -; 0.339 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.003 ; 0.426 ; -; 0.341 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.025 ; 0.450 ; -; 0.342 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.005 ; 0.431 ; -; 0.344 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.456 ; -; 0.352 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.203 ; 0.659 ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Setup: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.832 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.452 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33329.848 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.436 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.026 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.258 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.042 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.242 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.048 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.236 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.064 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.220 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.187 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.097 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.203 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.081 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.268 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.016 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.284 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.036 ; 3.000 ; +; 33330.296 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.041 ; 2.983 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; +; 33330.337 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33333.333 ; -0.035 ; 2.948 ; ++-----------+------------------------------+-------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -5722,214 +5614,430 @@ No paths to report. +-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.099 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][22] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][22] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.229 ; 0.412 ; -; 0.101 ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[12] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_datain_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.397 ; 0.602 ; -; 0.125 ; receiver:receiver_rx2_inst|varcic:varcic_inst_Q1|out_data[9] ; receiver:receiver_rx2_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_datain_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.397 ; 0.626 ; -; 0.176 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[8] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.129 ; 0.389 ; -; 0.178 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][10] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][10] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.478 ; -; 0.179 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][2] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.479 ; -; 0.181 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][6] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.481 ; -; 0.181 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][4] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.481 ; -; 0.184 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[5] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.129 ; 0.397 ; -; 0.184 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[3] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.129 ; 0.397 ; -; 0.185 ; transmitter:transmitter_inst|CicInterpM5:in2|x2[6] ; transmitter:transmitter_inst|CicInterpM5:in2|dx2[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.129 ; 0.398 ; -; 0.189 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Y[13][17] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Y[14][17] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.125 ; 0.398 ; -; 0.191 ; transmitter:transmitter_inst|tx_IQ_data[27] ; transmitter:transmitter_inst|fir_i[11] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.038 ; 0.313 ; -; 0.192 ; transmitter:transmitter_inst|tx_IQ_data[31] ; transmitter:transmitter_inst|fir_i[15] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.038 ; 0.314 ; -; 0.193 ; transmitter:transmitter_inst|tx_IQ_data[23] ; transmitter:transmitter_inst|fir_i[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.038 ; 0.315 ; -; 0.196 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][1] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.496 ; -; 0.196 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.496 ; -; 0.197 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][9] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.497 ; -; 0.197 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[13][7] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.216 ; 0.497 ; -; 0.197 ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.026 ; 0.307 ; -; 0.198 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; -; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|parity5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.113 ; 0.395 ; -; 0.198 ; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; transmitter:transmitter_inst|FirInterp8_1024:fi|req ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; -; 0.199 ; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[3].cic_comb_inst|out_data[30] ; receiver:receiver_rx_inst|varcic:varcic_inst_Q1|cic_comb:cic_stages[4].cic_comb_inst|prev_data[30] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.105 ; 0.388 ; -; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.199 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; -; 0.200 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.066 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.315 ; 0.485 ; +; 0.109 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.288 ; 0.501 ; +; 0.144 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:F|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.282 ; 0.530 ; +; 0.181 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|fir256:G|firram36:ram|altsyncram:altsyncram_component|altsyncram_pin1:auto_generated|ram_block1a0~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.238 ; 0.523 ; +; 0.181 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~porta_address_reg0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.333 ; 0.618 ; +; 0.192 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.044 ; 0.320 ; +; 0.192 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.041 ; 0.317 ; +; 0.193 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.041 ; 0.318 ; +; 0.194 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.211 ; 0.489 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.105 ; 0.387 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.046 ; 0.328 ; +; 0.198 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.041 ; 0.323 ; +; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a11 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a10 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.198 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.307 ; +; 0.199 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; +; 0.199 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; +; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; +; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; +; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; +; 0.199 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.307 ; ; 0.200 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][18] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][18] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.229 ; 0.513 ; -; 0.200 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; transmitter:transmitter_inst|FirInterp8_1024:fi|phase[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.200 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; -; 0.201 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a4 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; -; 0.201 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][15] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][15] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.229 ; 0.514 ; -; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; -; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; -; 0.205 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; -; 0.205 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[3][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; -; 0.205 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; -; 0.205 ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[0].cic_comb_inst|out_data[32] ; receiver:receiver_rx2_inst|varcic:varcic_inst_I1|cic_comb:cic_stages[1].cic_comb_inst|prev_data[32] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.101 ; 0.390 ; -; 0.205 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddrA ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rAddrB ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; -; 0.205 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[14][0] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[15][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; -; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[4] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[4] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[6] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[9] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][1] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.206 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[1][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[2][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.315 ; -; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.042 ; 0.332 ; -; 0.206 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[14][11] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|X[15][11] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.229 ; 0.519 ; -; 0.206 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; -; 0.206 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[10] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.206 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe11a[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|alt_synch_pipe_apl:rs_dgwp|dffpipe_re9:dffpipe10|dffe12a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.313 ; -; 0.207 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.314 ; -; 0.207 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.313 ; +; 0.200 ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a9 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a7 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a8 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; receiver:receiver_rx2_inst|firX8R8:fir2|wstate[1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; receiver:receiver_rx2_inst|firX8R8:fir2|waddr[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a1 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a2 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.200 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_077:rdptr_g1p|counter3a0 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.023 ; 0.307 ; +; 0.201 ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; transmitter:transmitter_inst|FirInterp8_1024:fi|we ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; +; 0.201 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rWait ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; +; 0.201 ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; transmitter:transmitter_inst|FirInterp8_1024:fi|rstate.rRun ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.022 ; 0.307 ; +; 0.202 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.041 ; 0.327 ; +; 0.203 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|counter4a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|wrptr_g[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.046 ; 0.333 ; +; 0.204 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.204 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.204 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.204 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.204 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.204 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.204 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.204 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[12][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[13][2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.313 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|ws_dgrp_reg[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[5] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[5] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[7] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[7] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[6] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[9] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; +; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe9a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[8] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[8] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[6] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[6] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; +; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[9] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[9] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe10a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe11a[0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; +; 0.205 ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[4][0] ; receiver:receiver_rx2_inst|cordic:cordic_inst|Z[5][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; +; 0.205 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|sub_parity6a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_qkc:wrptr_g1p|parity5 ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[0][1] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.313 ; +; 0.205 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[1][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[2][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[3][0] ; receiver:receiver_rx_inst|cordic:cordic_inst|Z[4][0] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.205 ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[7][1] ; transmitter:transmitter_inst|cpl_cordic:cordic_inst|Z[8][1] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.314 ; +; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe12a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe13a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; +; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; +; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[3] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe16a[3] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.024 ; 0.314 ; +; 0.206 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe14a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|alt_synch_pipe_epl:ws_dgrp|dffpipe_ve9:dffpipe8|dffe15a[2] ; ad9866_clk ; ad9866_clk ; 0.000 ; 0.025 ; 0.315 ; +-------+------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Hold: 'spi_ce1' ; ++-------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.120 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.292 ; 0.516 ; +; 0.162 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.370 ; 0.636 ; +; 0.168 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.370 ; 0.642 ; +; 0.176 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.060 ; 0.320 ; +; 0.177 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.060 ; 0.321 ; +; 0.194 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.307 ; +; 0.194 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.307 ; +; 0.194 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.307 ; +; 0.194 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.307 ; +; 0.194 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.307 ; +; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; +; 0.197 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.026 ; 0.307 ; +; 0.197 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.026 ; 0.307 ; +; 0.197 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.026 ; 0.307 ; +; 0.197 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.026 ; 0.307 ; +; 0.203 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.315 ; +; 0.253 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.060 ; 0.397 ; +; 0.260 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.060 ; 0.404 ; +; 0.261 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.373 ; +; 0.280 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.392 ; +; 0.283 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.395 ; +; 0.289 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.060 ; 0.433 ; +; 0.295 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.370 ; 0.769 ; +; 0.301 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.234 ; 0.639 ; +; 0.304 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.416 ; +; 0.308 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.004 ; 0.396 ; +; 0.313 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.426 ; +; 0.315 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.427 ; +; 0.320 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.234 ; 0.658 ; +; 0.326 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.026 ; 0.436 ; +; 0.331 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.026 ; 0.441 ; +; 0.338 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.060 ; 0.482 ; +; 0.354 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.467 ; +; 0.376 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.167 ; 0.647 ; +; 0.378 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.004 ; 0.466 ; +; 0.385 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.122 ; 0.611 ; +; 0.390 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.503 ; +; 0.392 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.087 ; 0.563 ; +; 0.394 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.087 ; 0.565 ; +; 0.400 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.292 ; 0.796 ; +; 0.411 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.223 ; 0.718 ; +; 0.417 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.370 ; 0.891 ; +; 0.458 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.064 ; 0.626 ; +; 0.460 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.060 ; 0.604 ; +; 0.465 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.089 ; 0.658 ; +; 0.467 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.234 ; 0.805 ; +; 0.469 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.200 ; 0.773 ; +; 0.499 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.612 ; +; 0.531 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.644 ; +; 0.541 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.010 ; 0.635 ; +; 0.552 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.292 ; 0.948 ; +; 0.563 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.097 ; 0.550 ; +; 0.565 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.196 ; 0.845 ; +; 0.578 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.089 ; 0.771 ; +; 0.589 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.234 ; 0.927 ; +; 0.595 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.196 ; 0.875 ; +; 0.631 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.160 ; 0.555 ; +; 0.633 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.089 ; 0.826 ; +; 0.651 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.031 ; 0.786 ; +; 0.656 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.769 ; +; 0.664 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.167 ; 0.935 ; +; 0.669 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.031 ; 0.804 ; +; 0.674 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.292 ; 1.070 ; +; 0.696 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.031 ; 0.831 ; +; 0.699 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.167 ; 0.970 ; +; 0.707 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.087 ; 0.878 ; +; 0.720 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.136 ; 0.668 ; +; 0.745 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.136 ; 0.693 ; +; 0.763 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.167 ; 1.034 ; +; 0.764 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.089 ; 0.957 ; +; 0.809 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.251 ; 1.144 ; +; 0.821 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.031 ; 0.956 ; +; 0.830 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.087 ; 1.001 ; +; 0.841 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.251 ; 1.176 ; +; 0.846 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.185 ; 0.745 ; +; 0.872 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.136 ; 0.820 ; +; 0.885 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.265 ; 1.254 ; +; 0.897 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.193 ; 0.788 ; +; 0.901 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.165 ; 0.820 ; +; 0.906 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.165 ; 0.825 ; +; 0.922 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.224 ; 1.230 ; +; 0.923 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.251 ; 1.258 ; +; 0.943 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.185 ; 0.842 ; +; 0.946 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.224 ; 1.254 ; +; 0.964 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.224 ; 1.272 ; +; 0.976 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.207 ; 1.287 ; +; 0.988 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.165 ; 0.907 ; +; 0.997 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.224 ; 1.305 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[46] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[45] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[33] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[47] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[42] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[38] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[39] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[41] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[35] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[36] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[37] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; +; 1.013 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|q_b[43] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.066 ; 1.169 ; ++-------+-----------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Hold: 'spi_ce0' ; ++-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.146 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.084 ; 0.314 ; +; 0.186 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.316 ; +; 0.191 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.325 ; +; 0.191 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.325 ; +; 0.191 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.321 ; +; 0.192 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.326 ; +; 0.192 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.031 ; 0.307 ; +; 0.192 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.031 ; 0.307 ; +; 0.192 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.031 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.195 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.307 ; +; 0.196 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; +; 0.196 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; +; 0.196 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; +; 0.196 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; +; 0.196 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; +; 0.196 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; +; 0.196 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.307 ; +; 0.197 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.307 ; +; 0.197 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.307 ; +; 0.197 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.307 ; +; 0.197 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.307 ; +; 0.200 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.330 ; +; 0.203 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.314 ; +; 0.216 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.327 ; +; 0.216 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.033 ; 0.333 ; +; 0.221 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.332 ; +; 0.224 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.196 ; 0.524 ; +; 0.225 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.187 ; 0.516 ; +; 0.225 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[11] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[11] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.084 ; 0.393 ; +; 0.229 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.187 ; 0.520 ; +; 0.230 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.011 ; 0.325 ; +; 0.231 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.084 ; 0.399 ; +; 0.232 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[6] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.187 ; 0.523 ; +; 0.233 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.084 ; 0.401 ; +; 0.235 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.187 ; 0.526 ; +; 0.239 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a16~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.190 ; 0.533 ; +; 0.249 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.379 ; +; 0.252 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.150 ; 0.506 ; +; 0.261 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.372 ; +; 0.262 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[10] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.392 ; +; 0.263 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.052 ; 0.399 ; +; 0.265 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.052 ; 0.401 ; +; 0.266 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[5] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[5] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.050 ; 0.400 ; +; 0.268 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.268 ; 0.640 ; +; 0.268 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.128 ; 0.480 ; +; 0.268 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.033 ; 0.385 ; +; 0.273 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.268 ; 0.645 ; +; 0.274 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.385 ; +; 0.278 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.389 ; +; 0.279 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.390 ; +; 0.283 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.394 ; +; 0.284 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[9] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a20~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.145 ; 0.533 ; +; 0.285 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[7] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.033 ; 0.402 ; +; 0.288 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.399 ; +; 0.290 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.386 ; +; 0.292 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.403 ; +; 0.292 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.403 ; +; 0.292 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.268 ; 0.664 ; +; 0.293 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.127 ; 0.524 ; +; 0.297 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.052 ; 0.433 ; +; 0.299 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.438 ; +; 0.300 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.046 ; 0.430 ; +; 0.303 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.414 ; +; 0.304 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.400 ; +; 0.307 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[0] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.127 ; 0.538 ; +; 0.309 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a1 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.448 ; +; 0.314 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.052 ; 0.450 ; +; 0.316 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.412 ; +; 0.317 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.033 ; 0.434 ; +; 0.319 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.430 ; +; 0.324 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.435 ; +; 0.324 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.212 ; 0.640 ; +; 0.327 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.437 ; +; 0.327 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[1] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.015 ; 0.396 ; +; 0.329 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a6 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.441 ; +; 0.331 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[3] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|delayed_wrptr_g[3] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.055 ; 0.470 ; +; 0.332 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.444 ; +; 0.335 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.447 ; +; 0.336 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a11 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.031 ; 0.451 ; +; 0.336 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[2] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.015 ; 0.405 ; +; 0.337 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.447 ; +; 0.338 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.028 ; 0.450 ; +; 0.339 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.026 ; 0.449 ; +; 0.341 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a3 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.437 ; +; 0.342 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a9 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a10 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.031 ; 0.457 ; +; 0.351 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.027 ; 0.462 ; +; 0.352 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a2 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[0] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.448 ; +; 0.365 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|sub_parity8a[2] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|parity7 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.148 ; 0.597 ; +; 0.366 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|a_graycounter_skc:wrptr_g1p|counter6a4 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[4] ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.012 ; 0.462 ; +; 0.366 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[1] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a24~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.111 ; 0.581 ; +; 0.369 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.134 ; 0.319 ; +; 0.370 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx1_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce0 ; spi_ce0 ; 0.000 ; -0.134 ; 0.320 ; +; 0.372 ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|wrptr_g[8] ; txFIFO:txFIFO_inst|dcfifo:dcfifo_component|dcfifo_ngk1:auto_generated|altsyncram_v171:fifo_ram|ram_block9a28~porta_address_reg0 ; spi_ce0 ; spi_ce0 ; 0.000 ; 0.207 ; 0.683 ; ++-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx_inst|done' ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ -; 0.101 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.521 ; -; 0.144 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.566 ; 0.824 ; -; 0.172 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 0.846 ; -; 0.179 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.553 ; 0.846 ; -; 0.189 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.557 ; 0.860 ; -; 0.204 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 0.878 ; -; 0.205 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.550 ; 0.869 ; -; 0.207 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 0.881 ; -; 0.209 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.550 ; 0.873 ; -; 0.214 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.557 ; 0.885 ; -; 0.224 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.641 ; -; 0.225 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 0.899 ; -; 0.233 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.653 ; -; 0.234 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.651 ; -; 0.235 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.550 ; 0.899 ; -; 0.236 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.653 ; -; 0.240 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.657 ; -; 0.242 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.659 ; -; 0.244 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.671 ; -; 0.244 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.664 ; -; 0.244 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.661 ; -; 0.244 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.661 ; -; 0.245 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.672 ; -; 0.245 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.662 ; -; 0.247 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.674 ; -; 0.247 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.664 ; -; 0.248 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.665 ; -; 0.250 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.670 ; -; 0.250 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.677 ; -; 0.250 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.667 ; -; 0.251 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.678 ; -; 0.251 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.678 ; -; 0.251 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.678 ; -; 0.252 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.672 ; -; 0.254 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.674 ; -; 0.254 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.681 ; -; 0.255 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.682 ; -; 0.255 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.682 ; -; 0.258 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.675 ; -; 0.260 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.677 ; -; 0.261 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.681 ; -; 0.261 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.681 ; -; 0.261 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.688 ; -; 0.263 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.690 ; -; 0.263 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.553 ; 0.930 ; -; 0.264 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.681 ; -; 0.265 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.692 ; -; 0.267 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.684 ; -; 0.268 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.532 ; 0.914 ; -; 0.270 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.697 ; -; 0.271 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.698 ; -; 0.271 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.688 ; -; 0.271 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.698 ; -; 0.272 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.527 ; 0.706 ; -; 0.273 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.693 ; -; 0.282 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.553 ; 0.949 ; -; 0.286 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.492 ; 0.685 ; -; 0.288 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 0.962 ; -; 0.291 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.492 ; 0.690 ; -; 0.296 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.553 ; 0.963 ; -; 0.315 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.552 ; 0.981 ; -; 0.317 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.492 ; 0.716 ; -; 0.319 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.550 ; 0.983 ; -; 0.319 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 0.993 ; -; 0.330 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.550 ; 0.994 ; -; 0.335 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.552 ; 1.001 ; -; 0.356 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.531 ; 1.001 ; -; 0.361 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 0.778 ; -; 0.366 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.551 ; 1.031 ; -; 0.368 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.532 ; 1.014 ; -; 0.370 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.520 ; 0.797 ; -; 0.376 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.552 ; 1.042 ; -; 0.377 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.531 ; 1.022 ; -; 0.383 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.526 ; 0.816 ; -; 0.386 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.806 ; -; 0.402 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.566 ; 1.082 ; -; 0.407 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.827 ; -; 0.408 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.526 ; 0.841 ; -; 0.409 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.534 ; 0.850 ; -; 0.411 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.831 ; -; 0.419 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.839 ; -; 0.425 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.526 ; 0.858 ; -; 0.431 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.851 ; -; 0.438 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.858 ; -; 0.445 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.492 ; 0.844 ; -; 0.447 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.526 ; 0.880 ; -; 0.467 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 1.141 ; -; 0.494 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 1.168 ; -; 0.502 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.566 ; 1.182 ; -; 0.504 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.566 ; 1.184 ; -; 0.505 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.925 ; -; 0.516 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.526 ; 0.949 ; -; 0.530 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.553 ; 1.197 ; -; 0.531 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.550 ; 1.195 ; -; 0.535 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.526 ; 0.968 ; -; 0.544 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.513 ; 0.964 ; -; 0.548 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.560 ; 1.222 ; -; 0.580 ; spi_slave:spi_slave_rx_inst|rdata[36] ; rx_gain[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.072 ; 0.622 ; -; 0.630 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.510 ; 1.047 ; -; 0.646 ; spi_slave:spi_slave_rx_inst|rdata[32] ; tx_gain[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.212 ; 0.548 ; +; 0.173 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.426 ; 0.506 ; +; 0.177 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.426 ; 0.510 ; +; 0.178 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.426 ; 0.511 ; +; 0.186 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.426 ; 0.519 ; +; 0.186 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.426 ; 0.519 ; +; 0.272 ; spi_slave:spi_slave_rx_inst|rdata[41] ; rx1_speed[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.465 ; 0.851 ; +; 0.274 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.462 ; 0.850 ; +; 0.283 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.461 ; 0.858 ; +; 0.309 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.458 ; 0.881 ; +; 0.313 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.461 ; 0.888 ; +; 0.316 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.462 ; 0.892 ; +; 0.319 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.351 ; 0.784 ; +; 0.322 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.653 ; +; 0.326 ; spi_slave:spi_slave_rx_inst|rdata[11] ; rx1_freq[11] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.657 ; +; 0.331 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.423 ; 0.661 ; +; 0.331 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.660 ; +; 0.332 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.423 ; 0.662 ; +; 0.332 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.663 ; +; 0.332 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.663 ; +; 0.333 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.458 ; 0.905 ; +; 0.334 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.464 ; 0.912 ; +; 0.335 ; spi_slave:spi_slave_rx_inst|rdata[14] ; rx1_freq[14]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.462 ; 0.911 ; +; 0.335 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.421 ; 0.663 ; +; 0.335 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.664 ; +; 0.338 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.667 ; +; 0.339 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.462 ; 0.915 ; +; 0.340 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.423 ; 0.670 ; +; 0.342 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.464 ; 0.920 ; +; 0.342 ; spi_slave:spi_slave_rx_inst|rdata[8] ; rx1_freq[8] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.421 ; 0.670 ; +; 0.343 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.423 ; 0.673 ; +; 0.343 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.672 ; +; 0.345 ; spi_slave:spi_slave_rx_inst|rdata[7] ; rx1_freq[7] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.421 ; 0.673 ; +; 0.346 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.421 ; 0.674 ; +; 0.347 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.423 ; 0.677 ; +; 0.348 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.674 ; +; 0.348 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.677 ; +; 0.349 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.675 ; +; 0.349 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.421 ; 0.677 ; +; 0.350 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.421 ; 0.678 ; +; 0.350 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.676 ; +; 0.350 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.679 ; +; 0.351 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.680 ; +; 0.352 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.683 ; +; 0.355 ; spi_slave:spi_slave_rx_inst|rdata[23] ; rx1_freq[23] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.681 ; +; 0.356 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.423 ; 0.686 ; +; 0.357 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.686 ; +; 0.359 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.421 ; 0.687 ; +; 0.360 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.691 ; +; 0.362 ; spi_slave:spi_slave_rx_inst|rdata[30] ; rx1_freq[30] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.688 ; +; 0.367 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.698 ; +; 0.373 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.704 ; +; 0.383 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.356 ; 0.853 ; +; 0.391 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.722 ; +; 0.393 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.461 ; 0.968 ; +; 0.416 ; spi_slave:spi_slave_rx_inst|rdata[40] ; rx1_speed[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.464 ; 0.994 ; +; 0.420 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.460 ; 0.994 ; +; 0.420 ; spi_slave:spi_slave_rx_inst|rdata[9] ; rx1_freq[9]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.458 ; 0.992 ; +; 0.424 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.317 ; 0.648 ; +; 0.426 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.359 ; 0.899 ; +; 0.431 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.317 ; 0.655 ; +; 0.433 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.461 ; 1.008 ; +; 0.433 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.455 ; 1.002 ; +; 0.437 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.463 ; 1.014 ; +; 0.441 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.314 ; 0.662 ; +; 0.447 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.426 ; 0.780 ; +; 0.449 ; spi_slave:spi_slave_rx_inst|rdata[18] ; rx1_freq[18]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.462 ; 1.025 ; +; 0.450 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.314 ; 0.671 ; +; 0.451 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.314 ; 0.672 ; +; 0.452 ; spi_slave:spi_slave_rx_inst|rdata[28] ; rx1_freq[28] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.314 ; 0.673 ; +; 0.458 ; spi_slave:spi_slave_rx_inst|rdata[25] ; rx1_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.317 ; 0.682 ; +; 0.471 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.314 ; 0.692 ; +; 0.472 ; spi_slave:spi_slave_rx_inst|rdata[10] ; rx1_freq[10]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.459 ; 1.045 ; +; 0.472 ; spi_slave:spi_slave_rx_inst|rdata[19] ; rx1_freq[19] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.798 ; +; 0.477 ; spi_slave:spi_slave_rx_inst|rdata[20] ; rx1_freq[20]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.466 ; 1.057 ; +; 0.481 ; spi_slave:spi_slave_rx_inst|rdata[27] ; rx1_freq[27]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.359 ; 0.954 ; +; 0.489 ; spi_slave:spi_slave_rx_inst|rdata[6] ; rx1_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.423 ; 0.819 ; +; 0.489 ; spi_slave:spi_slave_rx_inst|rdata[29] ; rx1_freq[29] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.815 ; +; 0.494 ; spi_slave:spi_slave_rx_inst|rdata[13] ; rx1_freq[13] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.825 ; +; 0.499 ; spi_slave:spi_slave_rx_inst|rdata[1] ; rx1_freq[1] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.828 ; +; 0.506 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.357 ; 0.977 ; +; 0.510 ; spi_slave:spi_slave_rx_inst|rdata[2] ; rx1_freq[2] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.839 ; +; 0.511 ; spi_slave:spi_slave_rx_inst|rdata[3] ; rx1_freq[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.840 ; +; 0.512 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.468 ; 1.094 ; +; 0.517 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.464 ; 1.095 ; +; 0.523 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.417 ; 0.847 ; +; 0.525 ; spi_slave:spi_slave_rx_inst|rdata[4] ; rx1_freq[4] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 0.854 ; +; 0.526 ; spi_slave:spi_slave_rx_inst|rdata[17] ; rx1_freq[17]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.464 ; 1.104 ; +; 0.541 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.466 ; 1.121 ; +; 0.545 ; spi_slave:spi_slave_rx_inst|rdata[12] ; rx1_freq[12]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.459 ; 1.118 ; +; 0.545 ; spi_slave:spi_slave_rx_inst|rdata[31] ; rx1_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.420 ; 0.872 ; +; 0.554 ; spi_slave:spi_slave_rx_inst|rdata[24] ; rx1_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.317 ; 0.778 ; +; 0.568 ; spi_slave:spi_slave_rx_inst|rdata[26] ; rx1_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.317 ; 0.792 ; +; 0.574 ; spi_slave:spi_slave_rx_inst|rdata[22] ; rx1_freq[22]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.466 ; 1.154 ; +; 0.618 ; spi_slave:spi_slave_rx_inst|rdata[21] ; rx1_freq[21] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.419 ; 0.944 ; +; 0.642 ; spi_slave:spi_slave_rx_inst|rdata[15] ; rx1_freq[15]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.464 ; 1.220 ; +; 0.645 ; spi_slave:spi_slave_rx_inst|rdata[16] ; rx1_freq[16] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.424 ; 0.976 ; +; 0.646 ; spi_slave:spi_slave_rx_inst|rdata[5] ; rx1_freq[5]~_Duplicate_2 ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.467 ; 1.227 ; +; 0.648 ; spi_slave:spi_slave_rx_inst|rdata[35] ; rx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.305 ; 0.457 ; +; 0.725 ; spi_slave:spi_slave_rx_inst|rdata[35] ; tx_gain[3] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; -0.333 ; 0.506 ; +; 0.727 ; spi_slave:spi_slave_rx_inst|rdata[0] ; rx1_freq[0] ; spi_sck ; spi_slave:spi_slave_rx_inst|done ; 0.000 ; 0.422 ; 1.056 ; +-------+---------------------------------------+---------------------------+--------------+----------------------------------+--------------+------------+------------+ @@ -5940,212 +6048,320 @@ No paths to report. +-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ; 0.185 ; profile:profile_CW|hang_PTT ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.307 ; ; 0.185 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_state ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.307 ; -; 0.187 ; profile:profile_CW|enable_hang ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0011 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; profile:profile_CW|char_PTT ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.213 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.333 ; -; 0.227 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.347 ; -; 0.295 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.417 ; -; 0.296 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.418 ; +; 0.188 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0011 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.307 ; +; 0.188 ; profile:profile_CW|enable_hang ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.307 ; +; 0.188 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.307 ; +; 0.188 ; profile:profile_CW|char_PTT ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.307 ; +; 0.188 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.307 ; +; 0.188 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.307 ; +; 0.195 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.317 ; +; 0.201 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.320 ; +; 0.209 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.328 ; +; 0.275 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.593 ; +; 0.296 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.215 ; 0.615 ; +; 0.296 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.418 ; +; 0.296 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.418 ; ; 0.296 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.418 ; ; 0.296 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.418 ; ; 0.296 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.418 ; +; 0.296 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.418 ; +; 0.297 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; ; 0.297 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; ; 0.297 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; -; 0.297 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; +; 0.297 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.417 ; ; 0.297 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; -; 0.297 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; -; 0.297 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; -; 0.298 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.418 ; +; 0.297 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.419 ; +; 0.298 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.417 ; ; 0.298 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.420 ; -; 0.298 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.418 ; -; 0.299 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.418 ; -; 0.299 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.418 ; -; 0.299 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.418 ; -; 0.299 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.419 ; -; 0.300 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.419 ; -; 0.300 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.419 ; -; 0.300 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.420 ; -; 0.300 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.420 ; -; 0.301 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.420 ; +; 0.298 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.418 ; +; 0.299 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.418 ; +; 0.299 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.418 ; +; 0.299 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.419 ; +; 0.300 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.419 ; +; 0.300 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.419 ; +; 0.300 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.420 ; +; 0.300 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.419 ; +; 0.301 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.420 ; ; 0.302 ; profile:profile_CW|hang_timer[17] ; profile:profile_CW|hang_timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.424 ; -; 0.303 ; profile:profile_CW|timer[17] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.423 ; +; 0.303 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.621 ; +; 0.303 ; profile:profile_CW|hang_timer[8] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.425 ; ; 0.303 ; profile:profile_CW|hang_timer[16] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.425 ; ; 0.304 ; profile:profile_CW|hang_timer[14] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.426 ; -; 0.304 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.426 ; -; 0.305 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.425 ; -; 0.305 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.425 ; -; 0.306 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.425 ; -; 0.307 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.426 ; -; 0.308 ; profile:profile_CW|hang_state ; profile:profile_CW|hang_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.430 ; +; 0.305 ; profile:profile_CW|timer[8] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.425 ; +; 0.305 ; profile:profile_CW|timer[17] ; profile:profile_CW|timer[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.424 ; +; 0.306 ; profile:profile_CW|timer[16] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.425 ; ; 0.309 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.431 ; -; 0.312 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.431 ; -; 0.332 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0100 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.452 ; -; 0.333 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.453 ; -; 0.333 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.453 ; -; 0.338 ; profile:profile_CW|prof_state.0011 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.458 ; -; 0.364 ; profile:profile_CW|hang_timer[8] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.486 ; -; 0.367 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_count[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.487 ; -; 0.372 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.491 ; -; 0.380 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|prof_state.0001 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.500 ; -; 0.381 ; profile:profile_CW|profile_count[0] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.211 ; 0.696 ; -; 0.387 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.218 ; 0.709 ; -; 0.398 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.211 ; 0.713 ; -; 0.424 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|profile_count[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.544 ; -; 0.444 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.566 ; -; 0.445 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.567 ; +; 0.310 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.628 ; +; 0.311 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.431 ; +; 0.317 ; profile:profile_CW|profile_count[7] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.215 ; 0.636 ; +; 0.322 ; profile:profile_CW|profile_count[1] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.215 ; 0.641 ; +; 0.323 ; profile:profile_CW|profile_count[2] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.641 ; +; 0.328 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.215 ; 0.647 ; +; 0.329 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.647 ; +; 0.331 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|char_PTT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.450 ; +; 0.332 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.213 ; 0.649 ; +; 0.335 ; profile:profile_CW|prof_state.0001 ; profile:profile_CW|prof_state.0010 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.454 ; +; 0.338 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.656 ; +; 0.345 ; profile:profile_CW|prof_state.0000 ; profile:profile_CW|enable_hang ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.464 ; +; 0.366 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.486 ; +; 0.370 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.489 ; +; 0.386 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.508 ; +; 0.421 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.215 ; 0.740 ; +; 0.430 ; profile:profile_CW|prof_state.0100 ; profile:profile_CW|prof_state.0000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.549 ; ; 0.445 ; profile:profile_CW|hang_timer[5] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.567 ; +; 0.445 ; profile:profile_CW|hang_timer[7] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.567 ; +; 0.445 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.567 ; +; 0.445 ; profile:profile_CW|hang_timer[9] ; profile:profile_CW|hang_timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.567 ; +; 0.446 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.568 ; ; 0.446 ; profile:profile_CW|hang_timer[11] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.568 ; +; 0.446 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.566 ; ; 0.446 ; profile:profile_CW|hang_timer[15] ; profile:profile_CW|hang_timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.568 ; -; 0.446 ; profile:profile_CW|hang_timer[1] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.568 ; -; 0.447 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.567 ; ; 0.447 ; profile:profile_CW|hang_timer[13] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.569 ; -; 0.448 ; profile:profile_CW|timer[7] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.567 ; -; 0.448 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.568 ; -; 0.448 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.567 ; -; 0.449 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.569 ; -; 0.449 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.568 ; -; 0.450 ; profile:profile_CW|profile_count[9] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a0~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.218 ; 0.772 ; -; 0.453 ; profile:profile_CW|hang_timer[3] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.575 ; -; 0.454 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.576 ; +; 0.448 ; profile:profile_CW|timer[5] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.568 ; +; 0.448 ; profile:profile_CW|timer[9] ; profile:profile_CW|timer[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.567 ; +; 0.448 ; profile:profile_CW|timer[3] ; profile:profile_CW|timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.568 ; +; 0.448 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.567 ; +; 0.449 ; profile:profile_CW|timer[11] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.568 ; +; 0.450 ; profile:profile_CW|timer[13] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.569 ; +; 0.451 ; profile:profile_CW|profile_count[6] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.213 ; 0.768 ; +; 0.452 ; profile:profile_CW|profile_count[5] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.213 ; 0.769 ; +; 0.452 ; profile:profile_CW|profile_count[4] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.770 ; ; 0.454 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.576 ; -; 0.454 ; profile:profile_CW|timer[15] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.574 ; +; 0.454 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.576 ; ; 0.455 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.577 ; -; 0.455 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.577 ; -; 0.455 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.577 ; +; 0.455 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.577 ; ; 0.456 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.578 ; -; 0.456 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.576 ; -; 0.456 ; profile:profile_CW|timer[1] ; profile:profile_CW|timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.575 ; +; 0.456 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.576 ; ; 0.457 ; profile:profile_CW|hang_timer[6] ; profile:profile_CW|hang_timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.579 ; -; 0.457 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.579 ; -; 0.457 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.576 ; -; 0.457 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.577 ; -; 0.458 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.580 ; +; 0.457 ; profile:profile_CW|hang_timer[10] ; profile:profile_CW|hang_timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.579 ; +; 0.457 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.577 ; +; 0.458 ; profile:profile_CW|hang_timer[12] ; profile:profile_CW|hang_timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.580 ; ; 0.458 ; profile:profile_CW|hang_timer[4] ; profile:profile_CW|hang_timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.580 ; -; 0.458 ; profile:profile_CW|hang_timer[2] ; profile:profile_CW|hang_timer[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.580 ; -; 0.458 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.578 ; -; 0.458 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.577 ; +; 0.458 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.577 ; +; 0.458 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.577 ; +; 0.458 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.578 ; +; 0.458 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.578 ; ; 0.459 ; profile:profile_CW|hang_timer[0] ; profile:profile_CW|hang_timer[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.581 ; -; 0.459 ; profile:profile_CW|timer[12] ; profile:profile_CW|timer[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.579 ; -; 0.459 ; profile:profile_CW|timer[0] ; profile:profile_CW|timer[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.578 ; -; 0.459 ; profile:profile_CW|timer[2] ; profile:profile_CW|timer[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.578 ; -; 0.459 ; profile:profile_CW|profile_count[3] ; profile:profile_CW|profile_count[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.579 ; -; 0.460 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.579 ; -; 0.460 ; profile:profile_CW|timer[10] ; profile:profile_CW|timer[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.580 ; -; 0.461 ; profile:profile_CW|timer[4] ; profile:profile_CW|timer[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.035 ; 0.580 ; -; 0.461 ; profile:profile_CW|timer[14] ; profile:profile_CW|timer[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.581 ; +; 0.459 ; profile:profile_CW|timer[6] ; profile:profile_CW|timer[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.579 ; +; 0.459 ; profile:profile_CW|profile_count[8] ; profile:profile_CW|profile_ROM:profile_ROM_inst|altsyncram:altsyncram_component|altsyncram_6f91:auto_generated|ram_block1a7~porta_address_reg0 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.214 ; 0.777 ; +-------+-------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Hold: 'spi_sck' ; ++-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ +; 0.185 ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_slave:spi_slave_rx2_inst|rdata[29] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.316 ; +; 0.185 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.316 ; +; 0.186 ; spi_slave:spi_slave_rx2_inst|rreg[46] ; spi_slave:spi_slave_rx2_inst|rdata[47] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.313 ; +; 0.189 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; 0.045 ; 0.318 ; +; 0.189 ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_slave:spi_slave_rx_inst|rdata[3] ; spi_sck ; spi_sck ; 0.000 ; 0.045 ; 0.318 ; +; 0.189 ; spi_slave:spi_slave_rx_inst|rreg[33] ; spi_slave:spi_slave_rx_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.320 ; +; 0.191 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rdata[2] ; spi_sck ; spi_sck ; 0.000 ; 0.045 ; 0.320 ; +; 0.191 ; spi_slave:spi_slave_rx2_inst|rreg[40] ; spi_slave:spi_slave_rx2_inst|rdata[41] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.318 ; +; 0.191 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rdata[43] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.318 ; +; 0.191 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.318 ; +; 0.192 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rdata[44] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.319 ; +; 0.193 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.320 ; +; 0.193 ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_slave:spi_slave_rx2_inst|rdata[42] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.320 ; +; 0.201 ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.028 ; 0.313 ; +; 0.202 ; spi_slave:spi_slave_rx_inst|treg[20] ; spi_slave:spi_slave_rx_inst|treg[21] ; spi_sck ; spi_sck ; 0.000 ; 0.028 ; 0.314 ; +; 0.202 ; spi_slave:spi_slave_rx_inst|treg[29] ; spi_slave:spi_slave_rx_inst|treg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.028 ; 0.314 ; +; 0.203 ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_slave:spi_slave_rx_inst|treg[10] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.314 ; +; 0.203 ; spi_slave:spi_slave_rx2_inst|treg[41] ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.314 ; +; 0.204 ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.315 ; +; 0.204 ; spi_slave:spi_slave_rx_inst|treg[24] ; spi_slave:spi_slave_rx_inst|treg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.028 ; 0.316 ; +; 0.204 ; spi_slave:spi_slave_rx_inst|treg[4] ; spi_slave:spi_slave_rx_inst|treg[5] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.315 ; +; 0.204 ; spi_slave:spi_slave_rx_inst|treg[3] ; spi_slave:spi_slave_rx_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.315 ; +; 0.204 ; spi_slave:spi_slave_rx_inst|treg[2] ; spi_slave:spi_slave_rx_inst|treg[3] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.315 ; +; 0.204 ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.315 ; +; 0.204 ; spi_slave:spi_slave_rx2_inst|treg[3] ; spi_slave:spi_slave_rx2_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.026 ; 0.314 ; +; 0.204 ; spi_slave:spi_slave_rx2_inst|treg[5] ; spi_slave:spi_slave_rx2_inst|treg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.026 ; 0.314 ; +; 0.206 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.317 ; +; 0.206 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rdata[26] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.317 ; +; 0.207 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rdata[28] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.318 ; +; 0.208 ; spi_slave:spi_slave_rx2_inst|rreg[14] ; spi_slave:spi_slave_rx2_inst|rdata[15] ; spi_sck ; spi_sck ; 0.000 ; 0.034 ; 0.326 ; +; 0.208 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.319 ; +; 0.209 ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.317 ; +; 0.209 ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.317 ; +; 0.209 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rreg[46] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.317 ; +; 0.209 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.317 ; +; 0.209 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rdata[27] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.320 ; +; 0.210 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_slave:spi_slave_rx2_inst|rreg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_slave:spi_slave_rx2_inst|rreg[43] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_slave:spi_slave_rx2_inst|rreg[42] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[40] ; spi_slave:spi_slave_rx2_inst|rreg[41] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[28] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.319 ; +; 0.211 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.319 ; +; 0.211 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.319 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[25] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[24] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[15] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[17] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_slave:spi_slave_rx_inst|rreg[33] ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.319 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[27] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[16] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[26] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.320 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[29] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_slave:spi_slave_rx_inst|rreg[1] ; spi_slave:spi_slave_rx_inst|rreg[2] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.319 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[18] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[19] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[20] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[21] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[22] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.211 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[23] ; spi_ce1 ; spi_sck ; 0.000 ; 1.971 ; 2.296 ; +; 0.212 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.320 ; +; 0.212 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[47] ; spi_ce1 ; spi_sck ; 0.000 ; 2.039 ; 2.365 ; +; 0.212 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[46] ; spi_ce1 ; spi_sck ; 0.000 ; 2.039 ; 2.365 ; +; 0.212 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.320 ; +; 0.212 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.320 ; +; 0.216 ; spi_slave:spi_slave_rx2_inst|rreg[29] ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.325 ; +; 0.216 ; spi_slave:spi_slave_rx2_inst|rreg[27] ; spi_slave:spi_slave_rx2_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.325 ; +; 0.216 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.325 ; +; 0.216 ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_slave:spi_slave_rx2_inst|rreg[2] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.325 ; +; 0.217 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.325 ; +; 0.217 ; spi_slave:spi_slave_rx2_inst|rreg[23] ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.326 ; +; 0.217 ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_slave:spi_slave_rx_inst|rreg[16] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.325 ; +; 0.217 ; spi_slave:spi_slave_rx_inst|rreg[14] ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.325 ; +; 0.218 ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.327 ; +; 0.218 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.327 ; +; 0.218 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.326 ; +; 0.219 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.327 ; +; 0.220 ; spi_slave:spi_slave_rx_inst|rreg[10] ; spi_slave:spi_slave_rx_inst|rreg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.327 ; +; 0.220 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_sck ; spi_sck ; 0.000 ; 0.082 ; 0.386 ; +; 0.220 ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.328 ; +; 0.220 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rreg[19] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.328 ; +; 0.229 ; spi_slave:spi_slave_rx2_inst|rreg[37] ; spi_slave:spi_slave_rx2_inst|rdata[38] ; spi_sck ; spi_sck ; 0.000 ; 0.004 ; 0.317 ; +; 0.230 ; spi_slave:spi_slave_rx2_inst|rreg[35] ; spi_slave:spi_slave_rx2_inst|rdata[36] ; spi_sck ; spi_sck ; 0.000 ; 0.004 ; 0.318 ; +; 0.230 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; 0.004 ; 0.318 ; +; 0.230 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; 0.004 ; 0.318 ; +; 0.231 ; spi_slave:spi_slave_rx2_inst|rreg[36] ; spi_slave:spi_slave_rx2_inst|rdata[37] ; spi_sck ; spi_sck ; 0.000 ; 0.004 ; 0.319 ; +; 0.245 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.066 ; 0.395 ; +; 0.248 ; spi_slave:spi_slave_rx2_inst|rreg[21] ; spi_slave:spi_slave_rx2_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.072 ; 0.404 ; +; 0.249 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_ce1 ; spi_sck ; 0.000 ; 2.007 ; 2.370 ; +; 0.249 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[38] ; spi_ce1 ; spi_sck ; 0.000 ; 2.007 ; 2.370 ; +; 0.249 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_ce1 ; spi_sck ; 0.000 ; 2.007 ; 2.370 ; +; 0.249 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[31] ; spi_ce1 ; spi_sck ; 0.000 ; 2.007 ; 2.370 ; +; 0.249 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_ce1 ; spi_sck ; 0.000 ; 2.007 ; 2.370 ; +; 0.249 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_ce1 ; spi_sck ; 0.000 ; 2.007 ; 2.370 ; ++-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Hold: 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' ; +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ +; 0.187 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.307 ; +; 0.187 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.307 ; ; 0.187 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.307 ; ; 0.187 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.307 ; -; 0.188 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.307 ; -; 0.188 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.307 ; -; 0.188 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.307 ; ; 0.188 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.307 ; -; 0.285 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.404 ; -; 0.288 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.407 ; -; 0.299 ; iambic:iambic_inst|key_state.PREDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.418 ; -; 0.303 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.422 ; +; 0.188 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.307 ; +; 0.209 ; iambic:iambic_inst|dot_memory ; iambic:iambic_inst|key_state.DASHHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.329 ; +; 0.267 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.386 ; +; 0.279 ; iambic:iambic_inst|key_state.DOTHELD ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.399 ; +; 0.284 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|key_state.DOTDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.403 ; +; 0.286 ; iambic:iambic_inst|key_state.PREDOT ; iambic:iambic_inst|key_state.SENDDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.406 ; +; 0.303 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.423 ; ; 0.304 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.423 ; -; 0.304 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.423 ; -; 0.304 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.423 ; -; 0.305 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.424 ; -; 0.305 ; iambic:iambic_inst|delay[17] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.424 ; -; 0.305 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.424 ; -; 0.306 ; iambic:iambic_inst|key_state.PREDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.425 ; +; 0.304 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.424 ; +; 0.305 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.425 ; +; 0.305 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.425 ; ; 0.306 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.425 ; -; 0.306 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.425 ; -; 0.306 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.425 ; -; 0.306 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.425 ; -; 0.307 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.426 ; -; 0.308 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.427 ; -; 0.310 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.429 ; -; 0.310 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.429 ; +; 0.309 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.429 ; +; 0.309 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.428 ; +; 0.309 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.429 ; +; 0.310 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.430 ; +; 0.310 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.430 ; +; 0.311 ; iambic:iambic_inst|delay[17] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.430 ; +; 0.311 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.430 ; ; 0.311 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.430 ; +; 0.311 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.430 ; +; 0.312 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.431 ; ; 0.312 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.431 ; -; 0.319 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.438 ; -; 0.341 ; iambic:iambic_inst|key_state.DOTHELD ; iambic:iambic_inst|key_state.PREDOT ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.460 ; -; 0.355 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.474 ; -; 0.364 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.00000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.484 ; -; 0.414 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.534 ; -; 0.424 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.543 ; -; 0.453 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.572 ; +; 0.316 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.436 ; +; 0.322 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[0] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.442 ; +; 0.336 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|key_state.DASHHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.456 ; +; 0.366 ; iambic:iambic_inst|key_state.DASHDELAY ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.486 ; +; 0.370 ; iambic:iambic_inst|key_state.PREDASH ; iambic:iambic_inst|key_state.SENDDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.490 ; +; 0.421 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.540 ; +; 0.423 ; iambic:iambic_inst|dash_memory ; iambic:iambic_inst|key_state.DOTHELD ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.542 ; +; 0.444 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.PREDASH ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.563 ; +; 0.452 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.572 ; ; 0.453 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.572 ; -; 0.454 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.573 ; -; 0.455 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.574 ; -; 0.455 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.574 ; -; 0.456 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.575 ; -; 0.457 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.576 ; -; 0.459 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.578 ; -; 0.461 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.580 ; -; 0.462 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.581 ; -; 0.463 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.582 ; -; 0.464 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.583 ; +; 0.458 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.578 ; +; 0.458 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.578 ; +; 0.458 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.577 ; +; 0.459 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.579 ; +; 0.460 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.579 ; +; 0.461 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.580 ; +; 0.462 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.582 ; +; 0.463 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.583 ; ; 0.464 ; iambic:iambic_inst|delay[16] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.583 ; -; 0.465 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.584 ; -; 0.465 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.583 ; -; 0.466 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.585 ; -; 0.466 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.585 ; -; 0.468 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.586 ; -; 0.468 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.587 ; -; 0.469 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.588 ; +; 0.464 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.583 ; +; 0.465 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.585 ; +; 0.466 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.586 ; +; 0.467 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.586 ; +; 0.468 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.588 ; +; 0.469 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.589 ; ; 0.469 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.588 ; +; 0.469 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.588 ; ; 0.470 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.589 ; -; 0.471 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.590 ; +; 0.471 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.591 ; ; 0.472 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.591 ; +; 0.472 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[2] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.592 ; +; 0.472 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.591 ; ; 0.473 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.592 ; -; 0.475 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|key_state.DASHDELAY ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.594 ; -; 0.516 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.635 ; +; 0.515 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.635 ; ; 0.516 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.635 ; -; 0.517 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.636 ; -; 0.518 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.637 ; -; 0.518 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.637 ; -; 0.519 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.638 ; +; 0.518 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.638 ; ; 0.519 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.638 ; -; 0.519 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.638 ; -; 0.520 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.639 ; -; 0.520 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.639 ; -; 0.521 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.640 ; -; 0.522 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.641 ; -; 0.523 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.642 ; -; 0.523 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.641 ; -; 0.526 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.644 ; -; 0.527 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.646 ; -; 0.528 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.647 ; -; 0.529 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.648 ; -; 0.530 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.649 ; -; 0.531 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.650 ; -; 0.531 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.649 ; -; 0.532 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.651 ; -; 0.532 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.651 ; -; 0.534 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.652 ; -; 0.535 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.654 ; +; 0.521 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.641 ; +; 0.521 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.640 ; +; 0.522 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.642 ; +; 0.522 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.641 ; +; 0.523 ; iambic:iambic_inst|delay[15] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.642 ; +; 0.524 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.644 ; +; 0.524 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.643 ; +; 0.524 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.643 ; +; 0.525 ; iambic:iambic_inst|delay[7] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.644 ; +; 0.525 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.645 ; +; 0.527 ; iambic:iambic_inst|delay[13] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.646 ; +; 0.528 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.648 ; +; 0.529 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.649 ; +; 0.530 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[11] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.649 ; +; 0.531 ; iambic:iambic_inst|delay[4] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.651 ; +; 0.532 ; iambic:iambic_inst|delay[2] ; iambic:iambic_inst|delay[6] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.652 ; +; 0.533 ; iambic:iambic_inst|delay[8] ; iambic:iambic_inst|delay[12] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.652 ; +; 0.535 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[3] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.655 ; ; 0.535 ; iambic:iambic_inst|delay[14] ; iambic:iambic_inst|delay[17] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.654 ; -; 0.535 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.653 ; +; 0.535 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.654 ; +; 0.535 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.654 ; +; 0.535 ; iambic:iambic_inst|key_state.SENDDOT ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.654 ; ; 0.536 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.655 ; -; 0.538 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.656 ; +; 0.538 ; iambic:iambic_inst|delay[6] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.657 ; +; 0.538 ; iambic:iambic_inst|delay[10] ; iambic:iambic_inst|delay[14] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.657 ; +; 0.538 ; iambic:iambic_inst|delay[0] ; iambic:iambic_inst|delay[4] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.658 ; ; 0.539 ; iambic:iambic_inst|delay[12] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.658 ; -; 0.542 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|dash_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.662 ; -; 0.557 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|keyer_out ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.676 ; -; 0.569 ; iambic:iambic_inst|key_state.DASHHELD ; iambic:iambic_inst|key_state.00000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.688 ; +; 0.557 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|dot_memory ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.677 ; +; 0.558 ; iambic:iambic_inst|key_state.DOTDELAY ; iambic:iambic_inst|key_state.00000 ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.677 ; +; 0.570 ; iambic:iambic_inst|key_state.SENDDASH ; iambic:iambic_inst|keyer_out ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.038 ; 0.692 ; +; 0.581 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.701 ; ; 0.582 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[15] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.701 ; -; 0.583 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[7] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.702 ; -; 0.583 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[9] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.701 ; -; 0.584 ; iambic:iambic_inst|delay[9] ; iambic:iambic_inst|delay[13] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.703 ; +; 0.584 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.704 ; ; 0.585 ; iambic:iambic_inst|delay[11] ; iambic:iambic_inst|delay[16] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.704 ; -; 0.585 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.704 ; -; 0.586 ; iambic:iambic_inst|delay[5] ; iambic:iambic_inst|delay[10] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.034 ; 0.704 ; -; 0.586 ; iambic:iambic_inst|delay[3] ; iambic:iambic_inst|delay[8] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.035 ; 0.705 ; +; 0.587 ; iambic:iambic_inst|delay[1] ; iambic:iambic_inst|delay[5] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.036 ; 0.707 ; +-------+----------------------------------------+----------------------------------------+-------------------------------------------------------------+-------------------------------------------------------------+--------------+------------+------------+ @@ -6154,484 +6370,268 @@ No paths to report. +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.187 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.307 ; -; 0.188 ; ad9866:ad9866_inst|dut2_bitcount[3] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.307 ; ; 0.188 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.307 ; +; 0.188 ; ad9866:ad9866_inst|dut2_bitcount[3] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.307 ; +; 0.188 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.307 ; ; 0.195 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.314 ; -; 0.253 ; ad9866:ad9866_inst|dut2_data[12] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.373 ; -; 0.253 ; ad9866:ad9866_inst|dut2_data[14] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.373 ; -; 0.254 ; ad9866:ad9866_inst|dut2_data[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.373 ; -; 0.254 ; ad9866:ad9866_inst|dut2_data[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.373 ; -; 0.254 ; ad9866:ad9866_inst|dut2_data[6] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.373 ; -; 0.254 ; ad9866:ad9866_inst|dut2_data[7] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.373 ; -; 0.254 ; ad9866:ad9866_inst|dut2_data[13] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.374 ; -; 0.255 ; ad9866:ad9866_inst|dut2_data[9] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.375 ; -; 0.256 ; ad9866:ad9866_inst|dut2_data[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.375 ; -; 0.256 ; ad9866:ad9866_inst|dut2_data[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.375 ; -; 0.256 ; ad9866:ad9866_inst|dut2_data[11] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.376 ; -; 0.272 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.391 ; +; 0.195 ; ad9866:ad9866_inst|dut2_data[2] ; ad9866:ad9866_inst|dut2_data[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.314 ; +; 0.195 ; ad9866:ad9866_inst|dut2_data[4] ; ad9866:ad9866_inst|dut2_data[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.314 ; +; 0.196 ; ad9866:ad9866_inst|dut2_data[1] ; ad9866:ad9866_inst|dut2_data[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.315 ; +; 0.198 ; ad9866:ad9866_inst|dut2_data[0] ; ad9866:ad9866_inst|dut2_data[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.317 ; +; 0.265 ; ad9866:ad9866_inst|dut2_data[6] ; ad9866:ad9866_inst|dut2_data[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.385 ; +; 0.268 ; ad9866:ad9866_inst|dut2_data[9] ; ad9866:ad9866_inst|dut2_data[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.387 ; +; 0.276 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.294 ; 0.654 ; +; 0.279 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.294 ; 0.657 ; +; 0.286 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.294 ; 0.664 ; +; 0.289 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.294 ; 0.667 ; ; 0.293 ; counter[11] ; counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.412 ; -; 0.294 ; counter[13] ; counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.414 ; -; 0.294 ; counter[9] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.413 ; ; 0.294 ; counter[7] ; counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.413 ; +; 0.294 ; counter[9] ; counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.413 ; ; 0.294 ; counter[1] ; counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.413 ; -; 0.294 ; ad9866:ad9866_inst|dut2_data[10] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.414 ; -; 0.294 ; counter[17] ; counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.414 ; -; 0.294 ; counter[15] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.414 ; -; 0.295 ; counter[12] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.415 ; -; 0.295 ; counter[3] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.414 ; +; 0.294 ; ad9866:ad9866_inst|dut2_data[8] ; ad9866:ad9866_inst|dut2_data[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.414 ; +; 0.295 ; counter[13] ; counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.414 ; ; 0.295 ; counter[2] ; counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.414 ; -; 0.295 ; counter[21] ; counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.415 ; -; 0.295 ; counter[19] ; counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.415 ; -; 0.295 ; counter[18] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.415 ; +; 0.295 ; counter[3] ; counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.414 ; +; 0.295 ; counter[17] ; counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.414 ; +; 0.295 ; counter[15] ; counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.414 ; ; 0.296 ; counter[10] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; -; 0.296 ; counter[5] ; counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; +; 0.296 ; counter[12] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; ; 0.296 ; counter[4] ; counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; +; 0.296 ; counter[5] ; counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; ; 0.296 ; ad9866:ad9866_inst|dut2_data[3] ; ad9866:ad9866_inst|dut2_data[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; -; 0.296 ; counter[22] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.416 ; -; 0.296 ; counter[20] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.416 ; -; 0.296 ; counter[16] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.416 ; -; 0.296 ; counter[14] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.416 ; -; 0.297 ; counter[8] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.296 ; counter[21] ; counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; +; 0.296 ; counter[19] ; counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; +; 0.296 ; counter[18] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.415 ; ; 0.297 ; counter[6] ; counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; -; 0.297 ; reset_handler:reset_handler_inst|reset_counter[11] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.417 ; -; 0.297 ; reset_handler:reset_handler_inst|reset_counter[10] ; reset_handler:reset_handler_inst|reset_counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.417 ; -; 0.298 ; reset_handler:reset_handler_inst|reset_counter[9] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.418 ; -; 0.298 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.418 ; -; 0.298 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.417 ; -; 0.299 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; reset_handler:reset_handler_inst|reset_counter[7] ; reset_handler:reset_handler_inst|reset_counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; reset_handler:reset_handler_inst|reset_counter[5] ; reset_handler:reset_handler_inst|reset_counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; reset_handler:reset_handler_inst|reset_counter[6] ; reset_handler:reset_handler_inst|reset_counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.419 ; -; 0.300 ; reset_handler:reset_handler_inst|reset_counter[17] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; -; 0.300 ; reset_handler:reset_handler_inst|reset_counter[16] ; reset_handler:reset_handler_inst|reset_counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; -; 0.300 ; reset_handler:reset_handler_inst|reset_counter[15] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; -; 0.300 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; -; 0.300 ; reset_handler:reset_handler_inst|reset_counter[3] ; reset_handler:reset_handler_inst|reset_counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.420 ; -; 0.300 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; -; 0.301 ; reset_handler:reset_handler_inst|reset_counter[21] ; reset_handler:reset_handler_inst|reset_counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.420 ; -; 0.301 ; reset_handler:reset_handler_inst|reset_counter[23] ; reset_handler:reset_handler_inst|reset_counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.420 ; -; 0.301 ; reset_handler:reset_handler_inst|reset_counter[20] ; reset_handler:reset_handler_inst|reset_counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.420 ; -; 0.301 ; reset_handler:reset_handler_inst|reset_counter[19] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.420 ; -; 0.305 ; reset_handler:reset_handler_inst|reset_counter[1] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.425 ; -; 0.305 ; counter[23] ; counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.425 ; -; 0.306 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.426 ; -; 0.306 ; reset_handler:reset_handler_inst|reset_counter[4] ; reset_handler:reset_handler_inst|reset_counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.426 ; +; 0.297 ; counter[8] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.297 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.417 ; +; 0.297 ; ad9866:ad9866_inst|dut2_data[10] ; ad9866:ad9866_inst|dut2_data[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.297 ; counter[22] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.297 ; ad9866:ad9866_inst|dut2_data[11] ; ad9866:ad9866_inst|dut2_data[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.297 ; counter[20] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.297 ; counter[16] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.297 ; counter[14] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.416 ; +; 0.298 ; reset_handler:reset_handler_inst|reset_counter[10] ; reset_handler:reset_handler_inst|reset_counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.417 ; +; 0.298 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; ad9866:ad9866_inst|dut2_data[5] ; ad9866:ad9866_inst|dut2_data[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.417 ; +; 0.298 ; reset_handler:reset_handler_inst|reset_counter[13] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; ad9866:ad9866_inst|dut2_data[7] ; ad9866:ad9866_inst|dut2_data[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; reset_handler:reset_handler_inst|reset_counter[11] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.417 ; +; 0.298 ; ad9866:ad9866_inst|dut2_data[12] ; ad9866:ad9866_inst|dut2_data[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.417 ; +; 0.298 ; ad9866:ad9866_inst|dut2_data[13] ; ad9866:ad9866_inst|dut2_data[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.417 ; +; 0.299 ; reset_handler:reset_handler_inst|reset_counter[6] ; reset_handler:reset_handler_inst|reset_counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.418 ; +; 0.299 ; reset_handler:reset_handler_inst|reset_counter[9] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.418 ; +; 0.299 ; reset_handler:reset_handler_inst|reset_counter[15] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; reset_handler:reset_handler_inst|reset_counter[16] ; reset_handler:reset_handler_inst|reset_counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.418 ; +; 0.300 ; reset_handler:reset_handler_inst|reset_counter[17] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.420 ; +; 0.300 ; reset_handler:reset_handler_inst|reset_counter[4] ; reset_handler:reset_handler_inst|reset_counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; +; 0.300 ; reset_handler:reset_handler_inst|reset_counter[23] ; reset_handler:reset_handler_inst|reset_counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.420 ; +; 0.300 ; reset_handler:reset_handler_inst|reset_counter[19] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.420 ; +; 0.300 ; reset_handler:reset_handler_inst|reset_counter[7] ; reset_handler:reset_handler_inst|reset_counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; +; 0.300 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.419 ; +; 0.301 ; reset_handler:reset_handler_inst|reset_counter[3] ; reset_handler:reset_handler_inst|reset_counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.420 ; +; 0.305 ; reset_handler:reset_handler_inst|reset_counter[1] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.424 ; +; 0.306 ; counter[23] ; counter[23] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.425 ; +; 0.307 ; reset_handler:reset_handler_inst|reset_counter[0] ; reset_handler:reset_handler_inst|reset_counter[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.426 ; ; 0.307 ; counter[0] ; counter[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.426 ; -; 0.308 ; reset_handler:reset_handler_inst|reset_counter[22] ; reset_handler:reset_handler_inst|reset_counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.427 ; -; 0.321 ; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.024 ; 0.429 ; -; 0.332 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.451 ; -; 0.332 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.451 ; -; 0.350 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.175 ; 0.609 ; -; 0.353 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.175 ; 0.612 ; -; 0.354 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.473 ; -; 0.357 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.024 ; 0.465 ; -; 0.369 ; reset_handler:reset_handler_inst|reset_counter[13] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.488 ; -; 0.374 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.493 ; -; 0.390 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.509 ; -; 0.400 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.024 ; 0.508 ; -; 0.404 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.175 ; 0.663 ; -; 0.407 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.175 ; 0.666 ; -; 0.416 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.175 ; 0.675 ; -; 0.437 ; counter[11] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.040 ; 0.561 ; +; 0.307 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|sen_n ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.338 ; 0.729 ; +; 0.323 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[1] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.442 ; +; 0.335 ; ad9866:ad9866_inst|dut1_pc[3] ; ad9866:ad9866_inst|dut1_pc[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.025 ; 0.444 ; +; 0.336 ; ad9866:ad9866_inst|dut1_pc[4] ; ad9866:ad9866_inst|dut1_pc[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.025 ; 0.445 ; +; 0.338 ; ad9866:ad9866_inst|dut1_pc[5] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.025 ; 0.447 ; +; 0.342 ; ad9866:ad9866_inst|dut1_pc[1] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.294 ; 0.720 ; +; 0.352 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.294 ; 0.730 ; +; 0.368 ; reset_handler:reset_handler_inst|reset_counter[5] ; reset_handler:reset_handler_inst|reset_counter[5] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.487 ; +; 0.368 ; reset_handler:reset_handler_inst|reset_counter[21] ; reset_handler:reset_handler_inst|reset_counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.488 ; +; 0.373 ; reset_handler:reset_handler_inst|reset_counter[22] ; reset_handler:reset_handler_inst|reset_counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.493 ; +; 0.373 ; reset_handler:reset_handler_inst|reset_counter[20] ; reset_handler:reset_handler_inst|reset_counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.493 ; +; 0.383 ; ad9866:ad9866_inst|dut2_bitcount[1] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.502 ; +; 0.386 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.506 ; +; 0.387 ; ad9866:ad9866_inst|dut1_pc[2] ; ad9866:ad9866_inst|dut1_pc[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.506 ; +; 0.396 ; ad9866:ad9866_inst|dut2_bitcount[0] ; ad9866:ad9866_inst|dut2_bitcount[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.516 ; +; 0.402 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[0] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.521 ; +; 0.403 ; ad9866:ad9866_inst|dut2_state.1 ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.522 ; +; 0.423 ; ad9866:ad9866_inst|dut2_data[14] ; ad9866:ad9866_inst|dut2_data[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.542 ; +; 0.443 ; counter[11] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.034 ; 0.561 ; +; 0.443 ; counter[9] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.562 ; ; 0.443 ; counter[7] ; counter[8] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.562 ; ; 0.443 ; counter[1] ; counter[2] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.562 ; -; 0.443 ; counter[15] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.563 ; -; 0.443 ; counter[17] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.563 ; -; 0.443 ; counter[9] ; counter[10] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.562 ; -; 0.443 ; counter[13] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.563 ; -; 0.444 ; counter[21] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.564 ; +; 0.444 ; counter[15] ; counter[16] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.563 ; +; 0.444 ; counter[13] ; counter[14] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.563 ; ; 0.444 ; counter[3] ; counter[4] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.563 ; -; 0.444 ; counter[19] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.564 ; +; 0.444 ; counter[17] ; counter[18] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.563 ; ; 0.445 ; counter[5] ; counter[6] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.564 ; -; 0.446 ; reset_handler:reset_handler_inst|reset_counter[10] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.566 ; -; 0.447 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.566 ; -; 0.447 ; reset_handler:reset_handler_inst|reset_counter[2] ; reset_handler:reset_handler_inst|reset_counter[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.567 ; -; 0.448 ; reset_handler:reset_handler_inst|reset_counter[6] ; reset_handler:reset_handler_inst|reset_counter[7] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.568 ; -; 0.448 ; reset_handler:reset_handler_inst|reset_counter[8] ; reset_handler:reset_handler_inst|reset_counter[9] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.568 ; -; 0.449 ; reset_handler:reset_handler_inst|reset_counter[16] ; reset_handler:reset_handler_inst|reset_counter[17] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.568 ; -; 0.449 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.568 ; -; 0.449 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.568 ; -; 0.450 ; reset_handler:reset_handler_inst|reset_counter[20] ; reset_handler:reset_handler_inst|reset_counter[21] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.569 ; -; 0.452 ; counter[10] ; counter[12] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.040 ; 0.576 ; +; 0.445 ; counter[19] ; counter[20] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.564 ; +; 0.445 ; counter[21] ; counter[22] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.564 ; +; 0.446 ; ad9866:ad9866_inst|dut2_bitcount[2] ; ad9866:ad9866_inst|dut2_bitcount[3] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.034 ; 0.564 ; +; 0.446 ; reset_handler:reset_handler_inst|reset_counter[12] ; reset_handler:reset_handler_inst|reset_counter[13] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.566 ; +; 0.447 ; reset_handler:reset_handler_inst|reset_counter[18] ; reset_handler:reset_handler_inst|reset_counter[19] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.567 ; +; 0.447 ; reset_handler:reset_handler_inst|reset_counter[10] ; reset_handler:reset_handler_inst|reset_counter[11] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.035 ; 0.566 ; +; 0.447 ; reset_handler:reset_handler_inst|reset_counter[14] ; reset_handler:reset_handler_inst|reset_counter[15] ; clk_10mhz ; clk_10mhz ; 0.000 ; 0.036 ; 0.567 ; +-------+----------------------------------------------------+----------------------------------------------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'spi_sck' ; -+-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.188 ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_slave:spi_slave_rx2_inst|rdata[33] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.319 ; -; 0.188 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rdata[34] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.319 ; -; 0.189 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rdata[4] ; spi_sck ; spi_sck ; 0.000 ; 0.045 ; 0.318 ; -; 0.190 ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_slave:spi_slave_rx_inst|rdata[7] ; spi_sck ; spi_sck ; 0.000 ; 0.045 ; 0.319 ; -; 0.190 ; spi_slave:spi_slave_rx_inst|rreg[5] ; spi_slave:spi_slave_rx_inst|rdata[6] ; spi_sck ; spi_sck ; 0.000 ; 0.045 ; 0.319 ; -; 0.191 ; spi_slave:spi_slave_rx2_inst|rreg[0] ; spi_slave:spi_slave_rx2_inst|rdata[1] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.318 ; -; 0.191 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.318 ; -; 0.193 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rdata[26] ; spi_sck ; spi_sck ; 0.000 ; 0.043 ; 0.320 ; -; 0.194 ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_slave:spi_slave_rx2_inst|rdata[35] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.325 ; -; 0.197 ; spi_slave:spi_slave_rx2_inst|rreg[44] ; spi_slave:spi_slave_rx2_inst|rdata[45] ; spi_sck ; spi_sck ; 0.000 ; 0.044 ; 0.325 ; -; 0.199 ; spi_slave:spi_slave_rx2_inst|rreg[45] ; spi_slave:spi_slave_rx2_inst|rdata[46] ; spi_sck ; spi_sck ; 0.000 ; 0.044 ; 0.327 ; -; 0.199 ; spi_slave:spi_slave_rx_inst|rreg[13] ; spi_slave:spi_slave_rx_inst|rdata[14] ; spi_sck ; spi_sck ; 0.000 ; 0.044 ; 0.327 ; -; 0.200 ; spi_slave:spi_slave_rx_inst|rreg[15] ; spi_slave:spi_slave_rx_inst|rdata[16] ; spi_sck ; spi_sck ; 0.000 ; 0.044 ; 0.328 ; -; 0.201 ; spi_slave:spi_slave_rx_inst|treg[5] ; spi_slave:spi_slave_rx_inst|treg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.314 ; -; 0.203 ; spi_slave:spi_slave_rx2_inst|treg[0] ; spi_slave:spi_slave_rx2_inst|treg[1] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.314 ; -; 0.203 ; spi_slave:spi_slave_rx2_inst|treg[3] ; spi_slave:spi_slave_rx2_inst|treg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.314 ; -; 0.205 ; spi_slave:spi_slave_rx_inst|treg[7] ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.318 ; -; 0.207 ; spi_slave:spi_slave_rx_inst|rreg[3] ; spi_slave:spi_slave_rx_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.026 ; 0.317 ; -; 0.207 ; spi_slave:spi_slave_rx_inst|rreg[37] ; spi_slave:spi_slave_rx_inst|rreg[38] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.314 ; -; 0.207 ; spi_slave:spi_slave_rx_inst|rreg[29] ; spi_slave:spi_slave_rx_inst|rreg[30] ; spi_sck ; spi_sck ; 0.000 ; 0.098 ; 0.389 ; -; 0.207 ; spi_slave:spi_slave_rx2_inst|treg[7] ; spi_slave:spi_slave_rx2_inst|treg[8] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.318 ; -; 0.208 ; spi_slave:spi_slave_rx_inst|rreg[25] ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.317 ; -; 0.208 ; spi_slave:spi_slave_rx_inst|rreg[5] ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.026 ; 0.318 ; -; 0.208 ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_slave:spi_slave_rx2_inst|rreg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.317 ; -; 0.208 ; spi_slave:spi_slave_rx2_inst|rreg[32] ; spi_slave:spi_slave_rx2_inst|rreg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.317 ; -; 0.209 ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.318 ; -; 0.209 ; spi_slave:spi_slave_rx_inst|rreg[26] ; spi_slave:spi_slave_rx_inst|rreg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.318 ; -; 0.209 ; spi_slave:spi_slave_rx2_inst|rreg[5] ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.317 ; -; 0.209 ; spi_slave:spi_slave_rx2_inst|rreg[3] ; spi_slave:spi_slave_rx2_inst|rreg[4] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.317 ; -; 0.210 ; spi_slave:spi_slave_rx_inst|rreg[6] ; spi_slave:spi_slave_rx_inst|rreg[7] ; spi_sck ; spi_sck ; 0.000 ; 0.026 ; 0.320 ; -; 0.210 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.317 ; -; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[0] ; spi_slave:spi_slave_rx2_inst|rreg[1] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.317 ; -; 0.210 ; spi_slave:spi_slave_rx2_inst|rreg[6] ; spi_slave:spi_slave_rx2_inst|rreg[7] ; spi_sck ; spi_sck ; 0.000 ; 0.024 ; 0.318 ; -; 0.211 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.318 ; -; 0.211 ; spi_slave:spi_slave_rx2_inst|rreg[24] ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.318 ; -; 0.211 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rreg[19] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.318 ; -; 0.212 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.319 ; -; 0.212 ; spi_slave:spi_slave_rx_inst|rreg[39] ; spi_slave:spi_slave_rx_inst|rreg[40] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.319 ; -; 0.213 ; spi_slave:spi_slave_rx2_inst|rreg[25] ; spi_slave:spi_slave_rx2_inst|rreg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.320 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[3] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[4] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[1] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[0] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[2] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[5] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[7] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[6] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[8] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[9] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[10] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[12] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[13] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[14] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.214 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[15] ; spi_ce1 ; spi_sck ; 0.000 ; 1.961 ; 2.289 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[35] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[39] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[43] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[47] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[37] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[38] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[36] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[46] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[45] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[44] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[40] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[42] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[32] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.215 ; spi_ce[1] ; spi_slave:spi_slave_rx2_inst|treg[41] ; spi_ce1 ; spi_sck ; 0.000 ; 1.983 ; 2.312 ; -; 0.218 ; spi_slave:spi_slave_rx_inst|rreg[34] ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.325 ; -; 0.218 ; spi_slave:spi_slave_rx_inst|rreg[35] ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.325 ; -; 0.218 ; spi_slave:spi_slave_rx_inst|rreg[28] ; spi_slave:spi_slave_rx_inst|rreg[29] ; spi_sck ; spi_sck ; 0.000 ; 0.025 ; 0.327 ; -; 0.220 ; spi_slave:spi_slave_rx_inst|rreg[36] ; spi_slave:spi_slave_rx_inst|rreg[37] ; spi_sck ; spi_sck ; 0.000 ; 0.023 ; 0.327 ; -; 0.226 ; spi_slave:spi_slave_rx_inst|rreg[23] ; spi_slave:spi_slave_rx_inst|rdata[24] ; spi_sck ; spi_sck ; 0.000 ; 0.007 ; 0.317 ; -; 0.226 ; spi_slave:spi_slave_rx_inst|rreg[18] ; spi_slave:spi_slave_rx_inst|rdata[19] ; spi_sck ; spi_sck ; 0.000 ; 0.007 ; 0.317 ; -; 0.229 ; spi_slave:spi_slave_rx_inst|rreg[22] ; spi_slave:spi_slave_rx_inst|rdata[23] ; spi_sck ; spi_sck ; 0.000 ; 0.007 ; 0.320 ; -; 0.230 ; spi_slave:spi_slave_rx_inst|rreg[21] ; spi_slave:spi_slave_rx_inst|rdata[22] ; spi_sck ; spi_sck ; 0.000 ; 0.007 ; 0.321 ; -; 0.232 ; spi_slave:spi_slave_rx2_inst|rreg[30] ; spi_slave:spi_slave_rx2_inst|rdata[31] ; spi_sck ; spi_sck ; 0.000 ; 0.011 ; 0.327 ; -; 0.235 ; spi_slave:spi_slave_rx_inst|rreg[24] ; spi_slave:spi_slave_rx_inst|rdata[25] ; spi_sck ; spi_sck ; 0.000 ; 0.007 ; 0.326 ; -; 0.253 ; spi_slave:spi_slave_rx_inst|rreg[14] ; spi_slave:spi_slave_rx_inst|rdata[15] ; spi_sck ; spi_sck ; 0.000 ; 0.048 ; 0.385 ; -; 0.259 ; spi_slave:spi_slave_rx_inst|treg[14] ; spi_slave:spi_slave_rx_inst|treg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.372 ; -; 0.259 ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.372 ; -; 0.260 ; spi_slave:spi_slave_rx_inst|treg[25] ; spi_slave:spi_slave_rx_inst|treg[26] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.373 ; -; 0.260 ; spi_slave:spi_slave_rx_inst|treg[26] ; spi_slave:spi_slave_rx_inst|treg[27] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.373 ; -; 0.260 ; spi_slave:spi_slave_rx2_inst|rreg[31] ; spi_slave:spi_slave_rx2_inst|rdata[32] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.391 ; -; 0.260 ; spi_slave:spi_slave_rx_inst|treg[11] ; spi_slave:spi_slave_rx_inst|treg[12] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.373 ; -; 0.260 ; spi_slave:spi_slave_rx_inst|treg[8] ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.373 ; -; 0.260 ; spi_slave:spi_slave_rx2_inst|rreg[11] ; spi_slave:spi_slave_rx2_inst|rdata[12] ; spi_sck ; spi_sck ; 0.000 ; 0.047 ; 0.391 ; -; 0.260 ; spi_slave:spi_slave_rx_inst|treg[40] ; spi_slave:spi_slave_rx_inst|treg[41] ; spi_sck ; spi_sck ; 0.000 ; 0.028 ; 0.372 ; -; 0.261 ; spi_slave:spi_slave_rx_inst|treg[9] ; spi_slave:spi_slave_rx_inst|treg[10] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.374 ; -; 0.261 ; spi_slave:spi_slave_rx2_inst|treg[10] ; spi_slave:spi_slave_rx2_inst|treg[11] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.372 ; -; 0.261 ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_slave:spi_slave_rx_inst|treg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.028 ; 0.373 ; -; 0.262 ; spi_slave:spi_slave_rx_inst|treg[13] ; spi_slave:spi_slave_rx_inst|treg[14] ; spi_sck ; spi_sck ; 0.000 ; 0.029 ; 0.375 ; -; 0.262 ; spi_slave:spi_slave_rx2_inst|treg[12] ; spi_slave:spi_slave_rx2_inst|treg[13] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.373 ; -; 0.262 ; spi_slave:spi_slave_rx2_inst|treg[33] ; spi_slave:spi_slave_rx2_inst|treg[34] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.373 ; -; 0.262 ; spi_slave:spi_slave_rx_inst|treg[32] ; spi_slave:spi_slave_rx_inst|treg[33] ; spi_sck ; spi_sck ; 0.000 ; 0.028 ; 0.374 ; -; 0.262 ; spi_slave:spi_slave_rx_inst|rreg[4] ; spi_slave:spi_slave_rx_inst|rdata[5] ; spi_sck ; spi_sck ; 0.000 ; 0.045 ; 0.391 ; -; 0.262 ; spi_slave:spi_slave_rx2_inst|treg[14] ; spi_slave:spi_slave_rx2_inst|treg[15] ; spi_sck ; spi_sck ; 0.000 ; 0.027 ; 0.373 ; -+-------+---------------------------------------+----------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'spi_ce1' ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.194 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.195 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.307 ; -; 0.203 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.027 ; 0.314 ; -; 0.225 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.337 ; -; 0.226 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.338 ; -; 0.226 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.029 ; 0.339 ; -; 0.228 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.340 ; -; 0.261 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.027 ; 0.372 ; -; 0.263 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.145 ; 0.492 ; -; 0.289 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.204 ; 0.577 ; -; 0.291 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.403 ; -; 0.294 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.406 ; -; 0.295 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.135 ; 0.514 ; -; 0.305 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[2] ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.027 ; 0.416 ; -; 0.325 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[6] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.012 ; 0.397 ; -; 0.329 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.441 ; -; 0.336 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[7] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.012 ; 0.408 ; -; 0.352 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.096 ; 0.340 ; -; 0.369 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.052 ; 0.525 ; -; 0.370 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.482 ; -; 0.400 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.204 ; 0.688 ; -; 0.408 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.145 ; 0.637 ; -; 0.413 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[4] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.012 ; 0.485 ; -; 0.418 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.096 ; 0.406 ; -; 0.438 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.145 ; 0.667 ; -; 0.443 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.036 ; 0.511 ; -; 0.446 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.096 ; 0.434 ; -; 0.449 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.036 ; 0.517 ; -; 0.454 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.096 ; 0.442 ; -; 0.456 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.090 ; 0.650 ; -; 0.456 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.204 ; 0.744 ; -; 0.457 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.036 ; 0.525 ; -; 0.461 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.036 ; 0.529 ; -; 0.462 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.029 ; 0.517 ; -; 0.467 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.036 ; 0.535 ; -; 0.474 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.089 ; 0.667 ; -; 0.475 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.587 ; -; 0.483 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.096 ; 0.471 ; -; 0.505 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.617 ; -; 0.509 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.096 ; 0.497 ; -; 0.511 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 0.719 ; -; 0.513 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[0] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.008 ; 0.589 ; -; 0.517 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[8] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.070 ; 0.531 ; -; 0.519 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.013 ; 0.616 ; -; 0.524 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.636 ; -; 0.527 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.639 ; -; 0.530 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.113 ; 0.727 ; -; 0.530 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[2] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.008 ; 0.606 ; -; 0.531 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.643 ; -; 0.541 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.002 ; 0.647 ; -; 0.545 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.204 ; 0.833 ; -; 0.548 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 0.756 ; -; 0.550 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.655 ; -; 0.558 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.002 ; 0.664 ; -; 0.558 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.663 ; -; 0.559 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.664 ; -; 0.561 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.002 ; 0.667 ; -; 0.565 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.002 ; 0.671 ; -; 0.566 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.671 ; -; 0.589 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[9] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.070 ; 0.603 ; -; 0.597 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|sub_parity3a[1] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.096 ; 0.585 ; -; 0.607 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[5] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.070 ; 0.621 ; -; 0.616 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 0.824 ; -; 0.619 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.731 ; -; 0.626 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.738 ; -; 0.629 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.741 ; -; 0.644 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.756 ; -; 0.645 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.002 ; 0.751 ; -; 0.651 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.763 ; -; 0.651 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.756 ; -; 0.651 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.034 ; 0.701 ; -; 0.658 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|rdptr_g[3] ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.070 ; 0.672 ; -; 0.659 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.145 ; 0.888 ; -; 0.663 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.145 ; 0.892 ; -; 0.664 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.776 ; -; 0.665 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 0.873 ; -; 0.668 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.780 ; -; 0.686 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a12~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.002 ; 0.792 ; -; 0.718 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.204 ; 1.006 ; -; 0.721 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|parity2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.204 ; 1.009 ; -; 0.727 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.839 ; -; 0.734 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a0~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; -0.036 ; 0.802 ; -; 0.751 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.863 ; -; 0.767 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a5 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.872 ; -; 0.773 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.885 ; -; 0.775 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.068 ; 0.947 ; -; 0.776 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a1 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a7 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.888 ; -; 0.779 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a3 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 0.891 ; -; 0.826 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a9 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.931 ; -; 0.872 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|altsyncram_rv61:fifo_ram|ram_block7a30~portb_address_reg0 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.001 ; 0.977 ; -; 0.897 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a4 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.028 ; 1.009 ; -; 0.899 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a2 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a8 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.145 ; 1.128 ; -; 0.914 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a0 ; rxFIFO:rx2_FIFO_inst|dcfifo:dcfifo_component|dcfifo_3rj1:auto_generated|a_graycounter_u67:rdptr_g1p|counter1a6 ; spi_ce1 ; spi_ce1 ; 0.000 ; 0.124 ; 1.122 ; -+-------+---------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ -; 0.319 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.205 ; 2.629 ; -; 0.365 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.207 ; 2.677 ; -; 0.376 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.179 ; 2.660 ; -; 0.376 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.205 ; 2.686 ; -; 0.353 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.257 ; 2.715 ; -; 0.408 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.205 ; 2.718 ; -; 0.414 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.208 ; 2.727 ; -; 0.437 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.179 ; 2.721 ; -; 0.480 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.268 ; 2.853 ; -; 0.345 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.171 ; 2.621 ; -; 0.505 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.267 ; 2.877 ; -; 0.570 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.265 ; 2.940 ; -; 0.516 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.176 ; 2.797 ; -; 5.523 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.205 ; 2.853 ; -; 5.534 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.268 ; 2.927 ; -; 5.562 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.205 ; 2.892 ; -; 5.568 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.267 ; 2.960 ; -; 5.585 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.205 ; 2.915 ; -; 5.654 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.179 ; 2.958 ; -; 5.681 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.179 ; 2.985 ; -; 5.558 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.207 ; 2.890 ; -; 5.529 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.257 ; 2.911 ; -; 5.577 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.208 ; 2.910 ; -; 5.479 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.171 ; 2.775 ; -; 5.959 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.265 ; 3.349 ; -; 5.703 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.176 ; 3.004 ; -+-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ - - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Hold: 'spi_slave:spi_slave_rx2_inst|done' ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ -; 0.513 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.022 ; 0.398 ; -; 0.513 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.022 ; 0.398 ; -; 0.516 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.022 ; 0.401 ; -; 0.528 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.022 ; 0.413 ; -; 0.531 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.022 ; 0.416 ; -; 0.569 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.071 ; 0.405 ; -; 0.583 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.086 ; 0.404 ; -; 0.583 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.086 ; 0.404 ; -; 0.591 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.086 ; 0.412 ; -; 0.595 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.086 ; 0.416 ; -; 0.600 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.097 ; 0.410 ; -; 0.606 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.097 ; 0.416 ; -; 0.606 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; tx_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.097 ; 0.416 ; -; 0.607 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.097 ; 0.417 ; -; 0.614 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.394 ; -; 0.614 ; spi_slave:spi_slave_rx2_inst|rdata[35] ; keyer_weight[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.394 ; -; 0.615 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.395 ; -; 0.620 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.022 ; 0.505 ; -; 0.623 ; spi_slave:spi_slave_rx2_inst|rdata[37] ; keyer_weight[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.129 ; 0.401 ; -; 0.626 ; spi_slave:spi_slave_rx2_inst|rdata[45] ; cw_speed[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.113 ; 0.627 ; -; 0.627 ; spi_slave:spi_slave_rx2_inst|rdata[36] ; keyer_weight[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.129 ; 0.405 ; -; 0.629 ; spi_slave:spi_slave_rx2_inst|rdata[42] ; cw_speed[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.113 ; 0.630 ; -; 0.632 ; spi_slave:spi_slave_rx2_inst|rdata[43] ; cw_speed[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.113 ; 0.633 ; -; 0.634 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.414 ; -; 0.646 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.022 ; 0.531 ; -; 0.660 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.111 ; 0.663 ; -; 0.671 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.554 ; -; 0.671 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.026 ; 0.552 ; -; 0.681 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.564 ; -; 0.684 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.567 ; -; 0.684 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.567 ; -; 0.687 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.084 ; 0.510 ; -; 0.689 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; tx_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.015 ; 0.581 ; -; 0.691 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.037 ; 0.768 ; -; 0.692 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.086 ; 0.513 ; -; 0.695 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.040 ; 0.769 ; -; 0.698 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; rx2_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.114 ; 0.698 ; -; 0.699 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.062 ; 0.544 ; -; 0.706 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; tx_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.097 ; 0.516 ; -; 0.708 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.097 ; 0.518 ; -; 0.716 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.113 ; 0.717 ; -; 0.719 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.084 ; 0.542 ; -; 0.722 ; spi_slave:spi_slave_rx2_inst|rdata[38] ; keyer_weight[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.129 ; 0.500 ; -; 0.732 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.088 ; 0.551 ; -; 0.736 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.088 ; 0.555 ; -; 0.738 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.084 ; 0.561 ; -; 0.740 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.084 ; 0.563 ; -; 0.742 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; tx_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.077 ; 0.572 ; -; 0.742 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; tx_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.100 ; 0.549 ; -; 0.745 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.113 ; 0.746 ; -; 0.751 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.552 ; -; 0.753 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.120 ; 0.540 ; -; 0.754 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.108 ; 0.553 ; -; 0.755 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; tx_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.100 ; 0.562 ; -; 0.756 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.100 ; 0.563 ; -; 0.763 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.126 ; 0.544 ; -; 0.763 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.108 ; 0.562 ; -; 0.764 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.647 ; -; 0.765 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.128 ; 0.544 ; -; 0.767 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.650 ; -; 0.768 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.128 ; 0.547 ; -; 0.769 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.125 ; 0.551 ; -; 0.770 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.653 ; -; 0.772 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.655 ; -; 0.773 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.118 ; 0.562 ; -; 0.773 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.024 ; 0.656 ; -; 0.775 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.108 ; 0.574 ; -; 0.780 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.118 ; 0.569 ; -; 0.782 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.126 ; 0.563 ; -; 0.783 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.126 ; 0.564 ; -; 0.783 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.132 ; 0.558 ; -; 0.793 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.134 ; 0.566 ; -; 0.793 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.136 ; 0.564 ; -; 0.794 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.015 ; 0.686 ; -; 0.798 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.132 ; 0.573 ; -; 0.799 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.136 ; 0.570 ; -; 0.802 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.134 ; 0.575 ; -; 0.811 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.026 ; 0.692 ; -; 0.824 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.010 ; 0.721 ; -; 0.825 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.097 ; 0.635 ; -; 0.829 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.010 ; 0.726 ; -; 0.831 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.018 ; 0.720 ; -; 0.832 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; tx_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.065 ; 0.674 ; -; 0.836 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.018 ; 0.725 ; -; 0.836 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.018 ; 0.725 ; -; 0.836 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.010 ; 0.733 ; -; 0.839 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.018 ; 0.728 ; -; 0.841 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.086 ; 0.662 ; -; 0.844 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.010 ; 0.741 ; -; 0.847 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.648 ; -; 0.849 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.010 ; 0.746 ; -; 0.852 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; tx_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.077 ; 0.682 ; -; 0.854 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.086 ; 0.675 ; -; 0.854 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; tx_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.018 ; 0.743 ; -; 0.857 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.018 ; 0.746 ; -; 0.859 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.108 ; 0.658 ; -; 0.860 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; tx_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.100 ; 0.667 ; -; 0.860 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.100 ; 0.667 ; -; 0.863 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.664 ; -; 0.864 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; tx_freq[19] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.128 ; 0.643 ; +; 0.484 ; spi_slave:spi_slave_rx2_inst|rdata[39] ; keyer_revers ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.131 ; 0.467 ; +; 0.561 ; spi_slave:spi_slave_rx2_inst|rdata[42] ; cw_speed[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.548 ; +; 0.564 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; cw_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.104 ; 0.574 ; +; 0.564 ; spi_slave:spi_slave_rx2_inst|rdata[40] ; rx2_speed[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.104 ; 0.574 ; +; 0.596 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.099 ; 0.404 ; +; 0.612 ; spi_slave:spi_slave_rx2_inst|rdata[45] ; cw_speed[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.599 ; +; 0.618 ; spi_slave:spi_slave_rx2_inst|rdata[47] ; iambic_mode[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.131 ; 0.601 ; +; 0.630 ; spi_slave:spi_slave_rx2_inst|rdata[43] ; cw_speed[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.617 ; +; 0.635 ; spi_slave:spi_slave_rx2_inst|rdata[46] ; iambic_mode[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.131 ; 0.618 ; +; 0.635 ; spi_slave:spi_slave_rx2_inst|rdata[44] ; cw_speed[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.127 ; 0.622 ; +; 0.673 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.074 ; 0.506 ; +; 0.678 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.074 ; 0.511 ; +; 0.704 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.098 ; 0.513 ; +; 0.706 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.098 ; 0.515 ; +; 0.711 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; rx2_freq[11]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.098 ; 0.520 ; +; 0.711 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.098 ; 0.520 ; +; 0.714 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.065 ; 0.556 ; +; 0.728 ; spi_slave:spi_slave_rx2_inst|rdata[41] ; cw_speed[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.126 ; 0.716 ; +; 0.728 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.098 ; 0.537 ; +; 0.740 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.074 ; 0.573 ; +; 0.745 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.104 ; 0.548 ; +; 0.750 ; spi_slave:spi_slave_rx2_inst|rdata[3] ; rx2_freq[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.143 ; 0.514 ; +; 0.752 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.098 ; 0.561 ; +; 0.753 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.143 ; 0.517 ; +; 0.754 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.074 ; 0.587 ; +; 0.755 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.098 ; 0.564 ; +; 0.756 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.524 ; +; 0.757 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.135 ; 0.529 ; +; 0.788 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.178 ; 0.517 ; +; 0.820 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.060 ; 0.667 ; +; 0.824 ; spi_slave:spi_slave_rx2_inst|rdata[0] ; rx2_freq[0]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.052 ; 0.679 ; +; 0.832 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; rx2_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.091 ; 0.648 ; +; 0.841 ; spi_slave:spi_slave_rx2_inst|rdata[28] ; rx2_freq[28]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.095 ; 0.653 ; +; 0.845 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.074 ; 0.678 ; +; 0.856 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.081 ; 0.844 ; +; 0.864 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; rx2_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.665 ; +; 0.866 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; rx2_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.667 ; +; 0.875 ; spi_slave:spi_slave_rx2_inst|rdata[37] ; keyer_weight[5] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.142 ; 0.640 ; +; 0.880 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; tx_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.827 ; +; 0.881 ; spi_slave:spi_slave_rx2_inst|rdata[35] ; keyer_weight[3] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.142 ; 0.646 ; +; 0.889 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.657 ; +; 0.890 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; rx2_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.066 ; 0.731 ; +; 0.890 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.658 ; +; 0.890 ; spi_slave:spi_slave_rx2_inst|rdata[36] ; keyer_weight[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.142 ; 0.655 ; +; 0.893 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.661 ; +; 0.893 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.661 ; +; 0.893 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; tx_freq[18] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.079 ; 0.879 ; +; 0.899 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; rx2_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.700 ; +; 0.899 ; spi_slave:spi_slave_rx2_inst|rdata[31] ; rx2_freq[31] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.658 ; +; 0.902 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.661 ; +; 0.903 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; rx2_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.143 ; 0.667 ; +; 0.904 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.672 ; +; 0.906 ; spi_slave:spi_slave_rx2_inst|rdata[33] ; keyer_weight[1] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.142 ; 0.671 ; +; 0.907 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.675 ; +; 0.908 ; spi_slave:spi_slave_rx2_inst|rdata[34] ; keyer_weight[2] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.142 ; 0.673 ; +; 0.908 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.676 ; +; 0.909 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; rx2_freq[24] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.668 ; +; 0.909 ; spi_slave:spi_slave_rx2_inst|rdata[26] ; rx2_freq[26] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.668 ; +; 0.909 ; spi_slave:spi_slave_rx2_inst|rdata[12] ; tx_freq[12] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.856 ; +; 0.911 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; rx2_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.135 ; 0.683 ; +; 0.915 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; rx2_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.716 ; +; 0.919 ; spi_slave:spi_slave_rx2_inst|rdata[25] ; rx2_freq[25]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.139 ; 0.687 ; +; 0.920 ; spi_slave:spi_slave_rx2_inst|rdata[16] ; rx2_freq[16] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.721 ; +; 0.921 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; rx2_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.680 ; +; 0.921 ; spi_slave:spi_slave_rx2_inst|rdata[27] ; rx2_freq[27] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.680 ; +; 0.926 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; rx2_freq[22] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.685 ; +; 0.926 ; spi_slave:spi_slave_rx2_inst|rdata[1] ; rx2_freq[1]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.170 ; 0.663 ; +; 0.929 ; spi_slave:spi_slave_rx2_inst|rdata[30] ; rx2_freq[30] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.688 ; +; 0.930 ; spi_slave:spi_slave_rx2_inst|rdata[23] ; rx2_freq[23] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.148 ; 0.689 ; +; 0.932 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; tx_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.072 ; 0.911 ; +; 0.939 ; spi_slave:spi_slave_rx2_inst|rdata[32] ; keyer_weight[0] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.176 ; 0.670 ; +; 0.942 ; spi_slave:spi_slave_rx2_inst|rdata[2] ; tx_freq[2]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.003 ; 0.852 ; +; 0.943 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; tx_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.072 ; 0.922 ; +; 0.946 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; tx_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.072 ; 0.925 ; +; 0.946 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.174 ; 0.679 ; +; 0.952 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.899 ; +; 0.952 ; spi_slave:spi_slave_rx2_inst|rdata[19] ; rx2_freq[19]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.070 ; 0.789 ; +; 0.954 ; spi_slave:spi_slave_rx2_inst|rdata[6] ; tx_freq[6]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.072 ; 0.933 ; +; 0.956 ; spi_slave:spi_slave_rx2_inst|rdata[29] ; rx2_freq[29] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.183 ; 0.680 ; +; 0.957 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.904 ; +; 0.958 ; spi_slave:spi_slave_rx2_inst|rdata[10] ; tx_freq[10]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.905 ; +; 0.958 ; spi_slave:spi_slave_rx2_inst|rdata[18] ; rx2_freq[18]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.056 ; 0.809 ; +; 0.959 ; spi_slave:spi_slave_rx2_inst|rdata[7] ; tx_freq[7]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.047 ; 0.913 ; +; 0.966 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.070 ; 0.803 ; +; 0.968 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.002 ; 0.873 ; +; 0.969 ; spi_slave:spi_slave_rx2_inst|rdata[11] ; tx_freq[11] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.916 ; +; 0.972 ; spi_slave:spi_slave_rx2_inst|rdata[8] ; rx2_freq[8]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.066 ; 0.813 ; +; 0.972 ; spi_slave:spi_slave_rx2_inst|rdata[13] ; tx_freq[13] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.919 ; +; 0.972 ; spi_slave:spi_slave_rx2_inst|rdata[4] ; tx_freq[4] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.003 ; 0.882 ; +; 0.975 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; tx_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.072 ; 0.954 ; +; 0.983 ; spi_slave:spi_slave_rx2_inst|rdata[14] ; tx_freq[14]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.930 ; +; 0.988 ; spi_slave:spi_slave_rx2_inst|rdata[20] ; rx2_freq[20] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.079 ; 0.816 ; +; 0.988 ; spi_slave:spi_slave_rx2_inst|rdata[22] ; tx_freq[22]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.002 ; 0.893 ; +; 0.988 ; spi_slave:spi_slave_rx2_inst|rdata[21] ; tx_freq[21] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.004 ; 0.891 ; +; 0.990 ; spi_slave:spi_slave_rx2_inst|rdata[9] ; rx2_freq[9]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.066 ; 0.831 ; +; 0.991 ; spi_slave:spi_slave_rx2_inst|rdata[17] ; rx2_freq[17]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.066 ; 0.832 ; +; 0.992 ; spi_slave:spi_slave_rx2_inst|rdata[24] ; tx_freq[24]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.002 ; 0.897 ; +; 0.996 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; tx_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; 0.040 ; 0.943 ; +; 0.996 ; spi_slave:spi_slave_rx2_inst|rdata[15] ; rx2_freq[15] ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.106 ; 0.797 ; +; 0.997 ; spi_slave:spi_slave_rx2_inst|rdata[5] ; rx2_freq[5]~_Duplicate_1 ; spi_sck ; spi_slave:spi_slave_rx2_inst|done ; 0.000 ; -0.066 ; 0.838 ; +-------+----------------------------------------+---------------------------+--------------+-----------------------------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Hold: 'ad9866:ad9866_inst|dut1_pc[0]' ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ +; 0.632 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.213 ; 2.950 ; +; 0.651 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.220 ; 2.976 ; +; 0.658 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.223 ; 2.986 ; +; 0.679 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.220 ; 3.004 ; +; 0.684 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.205 ; 2.994 ; +; 0.686 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.223 ; 3.014 ; +; 0.724 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.226 ; 3.055 ; +; 0.727 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.219 ; 3.051 ; +; 0.657 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.220 ; 2.982 ; +; 0.674 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.212 ; 2.991 ; +; 0.757 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.220 ; 3.082 ; +; 0.659 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.224 ; 2.988 ; +; 0.690 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 0.000 ; 2.219 ; 3.014 ; +; 5.712 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[10] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.205 ; 3.042 ; +; 5.745 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[12] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.220 ; 3.090 ; +; 5.859 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[7] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.220 ; 3.204 ; +; 5.929 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[4] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.224 ; 3.278 ; +; 5.934 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[0] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.220 ; 3.279 ; +; 5.966 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[8] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.220 ; 3.311 ; +; 5.804 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[1] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.213 ; 3.142 ; +; 6.004 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[9] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.219 ; 3.348 ; +; 6.006 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[2] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.219 ; 3.350 ; +; 6.052 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[6] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.223 ; 3.400 ; +; 6.070 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[11] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.223 ; 3.418 ; +; 5.961 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[5] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.226 ; 3.312 ; +; 5.852 ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|datain[3] ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; -5.000 ; 2.212 ; 3.189 ; ++-------+-------------------------------+-------------------------------+-------------------------------+-------------------------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fast 1200mV 0C Model Hold: 'virt_ad9866_rxclk' ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ -; 11.346 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.928 ; 2.428 ; -; 11.350 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.928 ; 2.432 ; -; 11.432 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.928 ; 2.514 ; -; 11.513 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.928 ; 2.595 ; -; 11.654 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.928 ; 2.736 ; -; 11.686 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.012 ; 2.684 ; -; 11.699 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.012 ; 2.697 ; -; 11.900 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.256 ; 2.654 ; -; 12.985 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.945 ; 4.050 ; -; 13.163 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.012 ; 4.161 ; -; 13.229 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.945 ; 4.294 ; -; 13.298 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.928 ; 4.380 ; +; 11.456 ; transmitter:transmitter_inst|out_data[11] ; ad9866_adio[9] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.903 ; 2.563 ; +; 11.484 ; transmitter:transmitter_inst|out_data[5] ; ad9866_adio[3] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.880 ; 2.614 ; +; 11.558 ; transmitter:transmitter_inst|out_data[8] ; ad9866_adio[6] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.880 ; 2.688 ; +; 11.577 ; transmitter:transmitter_inst|out_data[7] ; ad9866_adio[5] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.880 ; 2.707 ; +; 11.587 ; transmitter:transmitter_inst|out_data[6] ; ad9866_adio[4] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.101 ; 2.496 ; +; 11.621 ; transmitter:transmitter_inst|out_data[10] ; ad9866_adio[8] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.880 ; 2.751 ; +; 12.217 ; transmitter:transmitter_inst|out_data[13] ; ad9866_adio[11] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.255 ; 2.972 ; +; 12.346 ; transmitter:transmitter_inst|out_data[4] ; ad9866_adio[2] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.117 ; 3.239 ; +; 13.028 ; transmitter:transmitter_inst|out_data[2] ; ad9866_adio[0] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.880 ; 4.158 ; +; 13.093 ; transmitter:transmitter_inst|out_data[9] ; ad9866_adio[7] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.880 ; 4.223 ; +; 13.102 ; transmitter:transmitter_inst|out_data[12] ; ad9866_adio[10] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -1.805 ; 4.307 ; +; 13.609 ; transmitter:transmitter_inst|out_data[3] ; ad9866_adio[1] ; ad9866_clk ; virt_ad9866_rxclk ; -6.510 ; -2.117 ; 4.502 ; +--------+-------------------------------------------+-----------------+--------------+-------------------+--------------+------------+------------+ @@ -6643,7 +6643,7 @@ Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Number of Synchronizer Chains Found: 64 Shortest Synchronizer Chain: 2 Registers Fraction of Chains for which MTBFs Could Not be Calculated: 0.500 -Worst Case Available Settling Time: 20.161 ns +Worst Case Available Settling Time: 19.717 ns Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 @@ -6655,20 +6655,20 @@ Typical MTBF values are calculated based on the nominal silicon characteristics, +--------------------------------------------------------------+-----------+--------+----------+---------+---------------------+ ; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; +--------------------------------------------------------------+-----------+--------+----------+---------+---------------------+ -; Worst-case Slack ; 0.240 ; 0.048 ; N/A ; N/A ; -2.666 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2599.539 ; 0.185 ; N/A ; N/A ; 2603.373 ; -; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33325.980 ; 0.187 ; N/A ; N/A ; 16665.873 ; -; ad9866:ad9866_inst|dut1_pc[0] ; 1.614 ; 0.319 ; N/A ; N/A ; 4.543 ; -; ad9866_clk ; 0.646 ; 0.099 ; N/A ; N/A ; 5.460 ; +; Worst-case Slack ; 0.080 ; 0.066 ; N/A ; N/A ; -2.666 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 2598.989 ; 0.185 ; N/A ; N/A ; 2603.370 ; +; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 33325.302 ; 0.187 ; N/A ; N/A ; 16665.870 ; +; ad9866:ad9866_inst|dut1_pc[0] ; 1.418 ; 0.632 ; N/A ; N/A ; 4.550 ; +; ad9866_clk ; 0.550 ; 0.066 ; N/A ; N/A ; 5.465 ; ; ad9866_rxclk ; N/A ; N/A ; N/A ; N/A ; -2.666 ; ; ad9866_txclk ; N/A ; N/A ; N/A ; N/A ; -2.666 ; -; clk_10mhz ; 91.911 ; 0.187 ; N/A ; N/A ; 49.189 ; -; spi_ce0 ; 0.240 ; 0.048 ; N/A ; N/A ; 1248.849 ; -; spi_ce1 ; 2496.334 ; 0.194 ; N/A ; N/A ; 1248.970 ; -; spi_sck ; 0.283 ; 0.188 ; N/A ; N/A ; 30.987 ; -; spi_slave:spi_slave_rx2_inst|done ; 0.732 ; 0.513 ; N/A ; N/A ; 1249.369 ; -; spi_slave:spi_slave_rx_inst|done ; 1.487 ; 0.101 ; N/A ; N/A ; 1249.229 ; -; virt_ad9866_rxclk ; 1.131 ; 11.346 ; N/A ; N/A ; N/A ; +; clk_10mhz ; 92.640 ; 0.188 ; N/A ; N/A ; 49.225 ; +; spi_ce0 ; 0.437 ; 0.146 ; N/A ; N/A ; 1248.810 ; +; spi_ce1 ; 2494.853 ; 0.120 ; N/A ; N/A ; 1248.907 ; +; spi_sck ; 0.080 ; 0.185 ; N/A ; N/A ; 31.017 ; +; spi_slave:spi_slave_rx2_inst|done ; 0.384 ; 0.484 ; N/A ; N/A ; 1249.371 ; +; spi_slave:spi_slave_rx_inst|done ; 1.349 ; 0.173 ; N/A ; N/A ; 1249.297 ; +; virt_ad9866_rxclk ; 0.442 ; 11.456 ; N/A ; N/A ; N/A ; ; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; -5.332 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ; @@ -6909,7 +6909,7 @@ Typical MTBF values are calculated based on the nominal silicon characteristics, ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 19 ; 19 ; 0 ; 0 ; ; clk_10mhz ; ad9866:ad9866_inst|dut1_pc[0] ; false path ; 0 ; false path ; 0 ; ; spi_slave:spi_slave_rx_inst|done ; ad9866:ad9866_inst|dut1_pc[0] ; false path ; 0 ; 0 ; 0 ; -; ad9866_clk ; ad9866_clk ; 455611 ; 2 ; 12 ; 0 ; +; ad9866_clk ; ad9866_clk ; 456237 ; 2 ; 12 ; 0 ; ; clk_10mhz ; ad9866_clk ; false path ; 0 ; 0 ; 0 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; ad9866_clk ; false path ; false path ; 0 ; 0 ; ; spi_ce0 ; ad9866_clk ; false path ; false path ; 0 ; 0 ; @@ -6950,7 +6950,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not ; ad9866:ad9866_inst|dut1_pc[0] ; ad9866:ad9866_inst|dut1_pc[0] ; 19 ; 19 ; 0 ; 0 ; ; clk_10mhz ; ad9866:ad9866_inst|dut1_pc[0] ; false path ; 0 ; false path ; 0 ; ; spi_slave:spi_slave_rx_inst|done ; ad9866:ad9866_inst|dut1_pc[0] ; false path ; 0 ; 0 ; 0 ; -; ad9866_clk ; ad9866_clk ; 455611 ; 2 ; 12 ; 0 ; +; ad9866_clk ; ad9866_clk ; 456237 ; 2 ; 12 ; 0 ; ; clk_10mhz ; ad9866_clk ; false path ; 0 ; 0 ; 0 ; ; PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] ; ad9866_clk ; false path ; false path ; 0 ; 0 ; ; spi_ce0 ; ad9866_clk ; false path ; false path ; 0 ; 0 ; @@ -7072,7 +7072,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime TimeQuest Timing Analyzer Info: Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition - Info: Processing started: Sat Feb 24 19:55:08 2018 + Info: Processing started: Fri Mar 09 21:38:00 2018 Info: Command: quartus_sta radioberry -c radioberry-10CL016 Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -7095,34 +7095,34 @@ Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQue Warning (332061): Virtual clock virt_ad9866_txclk is never referenced in any input or output delay assignment. Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Analyzing Slow 1200mV 85C Model -Info (332146): Worst-case setup slack is 0.283 +Info (332146): Worst-case setup slack is 0.080 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.283 0.000 spi_sck - Info (332119): 0.286 0.000 spi_ce0 - Info (332119): 0.646 0.000 ad9866_clk - Info (332119): 0.732 0.000 spi_slave:spi_slave_rx2_inst|done - Info (332119): 1.131 0.000 virt_ad9866_rxclk - Info (332119): 1.487 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 1.614 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 91.911 0.000 clk_10mhz - Info (332119): 2496.334 0.000 spi_ce1 - Info (332119): 2599.539 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 33325.980 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] -Info (332146): Worst-case hold slack is 0.258 + Info (332119): 0.080 0.000 spi_sck + Info (332119): 0.384 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 0.442 0.000 virt_ad9866_rxclk + Info (332119): 0.515 0.000 spi_ce0 + Info (332119): 0.550 0.000 ad9866_clk + Info (332119): 1.349 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 1.418 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 92.640 0.000 clk_10mhz + Info (332119): 2494.853 0.000 spi_ce1 + Info (332119): 2598.989 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 33325.302 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] +Info (332146): Worst-case hold slack is 0.370 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.258 0.000 spi_ce0 - Info (332119): 0.344 0.000 ad9866_clk - Info (332119): 0.454 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 0.370 0.000 spi_ce1 + Info (332119): 0.385 0.000 ad9866_clk + Info (332119): 0.426 0.000 spi_ce0 + Info (332119): 0.447 0.000 spi_sck Info (332119): 0.454 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] Info (332119): 0.454 0.000 clk_10mhz - Info (332119): 0.476 0.000 spi_ce1 - Info (332119): 0.476 0.000 spi_sck - Info (332119): 0.564 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 1.174 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 1.564 0.000 spi_slave:spi_slave_rx2_inst|done - Info (332119): 14.885 0.000 virt_ad9866_rxclk + Info (332119): 0.455 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 0.709 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 1.524 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 1.759 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 15.143 0.000 virt_ad9866_rxclk Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Critical Warning (332148): Timing requirements not met @@ -7132,23 +7132,23 @@ Info (332146): Worst-case minimum pulse width slack is -2.666 Info (332119): ========= =================== ===================== Info (332119): -2.666 -2.666 ad9866_rxclk Info (332119): -2.666 -2.666 ad9866_txclk - Info (332119): 4.607 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 5.751 0.000 ad9866_clk - Info (332119): 31.545 0.000 spi_sck - Info (332119): 49.516 0.000 clk_10mhz - Info (332119): 1248.952 0.000 spi_ce0 - Info (332119): 1249.122 0.000 spi_ce1 - Info (332119): 1249.363 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 1249.369 0.000 spi_slave:spi_slave_rx2_inst|done - Info (332119): 2603.373 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 16665.873 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] + Info (332119): 4.642 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 5.747 0.000 ad9866_clk + Info (332119): 31.573 0.000 spi_sck + Info (332119): 49.555 0.000 clk_10mhz + Info (332119): 1248.954 0.000 spi_ce0 + Info (332119): 1249.077 0.000 spi_ce1 + Info (332119): 1249.371 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 1249.378 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 2603.370 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 16665.870 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] Info (332114): Report Metastability: Found 64 synchronizer chains. Info (332114): Typical MTBF of Design is 1e+09 years or 3.15e+16 seconds. Info (332114): Number of Synchronizer Chains Found: 64 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 0.500 - Info (332114): Worst Case Available Settling Time: 13.637 ns + Info (332114): Worst Case Available Settling Time: 12.716 ns Info (332114): Info (332114): Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 @@ -7157,34 +7157,34 @@ Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock virt_ad9866_txclk is never referenced in any input or output delay assignment. -Info (332146): Worst-case setup slack is 0.240 +Info (332146): Worst-case setup slack is 0.293 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.240 0.000 spi_ce0 - Info (332119): 0.475 0.000 spi_sck - Info (332119): 0.768 0.000 spi_slave:spi_slave_rx2_inst|done - Info (332119): 1.199 0.000 ad9866_clk - Info (332119): 1.560 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 1.983 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 2.561 0.000 virt_ad9866_rxclk - Info (332119): 92.340 0.000 clk_10mhz - Info (332119): 2496.630 0.000 spi_ce1 - Info (332119): 2599.732 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 33326.295 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] -Info (332146): Worst-case hold slack is 0.241 + Info (332119): 0.293 0.000 spi_sck + Info (332119): 0.422 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 0.437 0.000 spi_ce0 + Info (332119): 1.088 0.000 ad9866_clk + Info (332119): 1.441 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 1.644 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 1.915 0.000 virt_ad9866_rxclk + Info (332119): 93.046 0.000 clk_10mhz + Info (332119): 2495.085 0.000 spi_ce1 + Info (332119): 2599.239 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 33325.618 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] +Info (332146): Worst-case hold slack is 0.341 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.241 0.000 spi_ce0 - Info (332119): 0.329 0.000 ad9866_clk - Info (332119): 0.403 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 0.341 0.000 spi_ce1 + Info (332119): 0.387 0.000 ad9866_clk Info (332119): 0.403 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] Info (332119): 0.403 0.000 clk_10mhz - Info (332119): 0.423 0.000 spi_ce1 - Info (332119): 0.437 0.000 spi_sck - Info (332119): 0.574 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 1.219 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 1.599 0.000 spi_slave:spi_slave_rx2_inst|done - Info (332119): 14.058 0.000 virt_ad9866_rxclk + Info (332119): 0.404 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 0.407 0.000 spi_ce0 + Info (332119): 0.410 0.000 spi_sck + Info (332119): 0.703 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 1.541 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 1.754 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 14.280 0.000 virt_ad9866_rxclk Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Critical Warning (332148): Timing requirements not met @@ -7194,14 +7194,14 @@ Info (332146): Worst-case minimum pulse width slack is -2.666 Info (332119): ========= =================== ===================== Info (332119): -2.666 -2.666 ad9866_rxclk Info (332119): -2.666 -2.666 ad9866_txclk - Info (332119): 4.543 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 5.748 0.000 ad9866_clk - Info (332119): 31.454 0.000 spi_sck - Info (332119): 49.411 0.000 clk_10mhz - Info (332119): 1249.076 0.000 spi_ce0 - Info (332119): 1249.187 0.000 spi_ce1 - Info (332119): 1249.229 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 1249.453 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 4.550 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 5.706 0.000 ad9866_clk + Info (332119): 31.477 0.000 spi_sck + Info (332119): 49.459 0.000 clk_10mhz + Info (332119): 1249.091 0.000 spi_ce0 + Info (332119): 1249.208 0.000 spi_ce1 + Info (332119): 1249.297 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 1249.412 0.000 spi_slave:spi_slave_rx2_inst|done Info (332119): 2603.435 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] Info (332119): 16665.935 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] Info (332114): Report Metastability: Found 64 synchronizer chains. @@ -7210,41 +7210,41 @@ Info (332114): Report Metastability: Found 64 synchronizer chains. Info (332114): Number of Synchronizer Chains Found: 64 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 0.500 - Info (332114): Worst Case Available Settling Time: 14.562 ns + Info (332114): Worst Case Available Settling Time: 13.704 ns Info (332114): Info (332114): Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info: Analyzing Fast 1200mV 0C Model Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock virt_ad9866_txclk is never referenced in any input or output delay assignment. -Info (332146): Worst-case setup slack is 0.471 +Info (332146): Worst-case setup slack is 0.564 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.471 0.000 spi_sck - Info (332119): 1.373 0.000 ad9866_clk - Info (332119): 1.794 0.000 spi_ce0 - Info (332119): 2.633 0.000 spi_slave:spi_slave_rx2_inst|done - Info (332119): 2.854 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 3.284 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 5.943 0.000 virt_ad9866_rxclk - Info (332119): 96.266 0.000 clk_10mhz - Info (332119): 2498.420 0.000 spi_ce1 - Info (332119): 2602.186 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 33330.158 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] -Info (332146): Worst-case hold slack is 0.048 + Info (332119): 0.564 0.000 spi_sck + Info (332119): 1.346 0.000 ad9866_clk + Info (332119): 1.890 0.000 spi_ce0 + Info (332119): 2.443 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 2.815 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 3.213 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 5.586 0.000 virt_ad9866_rxclk + Info (332119): 96.870 0.000 clk_10mhz + Info (332119): 2497.734 0.000 spi_ce1 + Info (332119): 2601.894 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 33329.832 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] +Info (332146): Worst-case hold slack is 0.066 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.048 0.000 spi_ce0 - Info (332119): 0.099 0.000 ad9866_clk - Info (332119): 0.101 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 0.066 0.000 ad9866_clk + Info (332119): 0.120 0.000 spi_ce1 + Info (332119): 0.146 0.000 spi_ce0 + Info (332119): 0.173 0.000 spi_slave:spi_slave_rx_inst|done Info (332119): 0.185 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] + Info (332119): 0.185 0.000 spi_sck Info (332119): 0.187 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] - Info (332119): 0.187 0.000 clk_10mhz - Info (332119): 0.188 0.000 spi_sck - Info (332119): 0.194 0.000 spi_ce1 - Info (332119): 0.319 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 0.513 0.000 spi_slave:spi_slave_rx2_inst|done - Info (332119): 11.346 0.000 virt_ad9866_rxclk + Info (332119): 0.188 0.000 clk_10mhz + Info (332119): 0.484 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 0.632 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 11.456 0.000 virt_ad9866_rxclk Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is 2.563 @@ -7252,14 +7252,14 @@ Info (332146): Worst-case minimum pulse width slack is 2.563 Info (332119): ========= =================== ===================== Info (332119): 2.563 0.000 ad9866_rxclk Info (332119): 2.563 0.000 ad9866_txclk - Info (332119): 4.842 0.000 ad9866:ad9866_inst|dut1_pc[0] - Info (332119): 5.460 0.000 ad9866_clk - Info (332119): 30.987 0.000 spi_sck - Info (332119): 49.189 0.000 clk_10mhz - Info (332119): 1248.849 0.000 spi_ce0 - Info (332119): 1248.970 0.000 spi_ce1 - Info (332119): 1249.572 0.000 spi_slave:spi_slave_rx_inst|done - Info (332119): 1249.668 0.000 spi_slave:spi_slave_rx2_inst|done + Info (332119): 4.809 0.000 ad9866:ad9866_inst|dut1_pc[0] + Info (332119): 5.465 0.000 ad9866_clk + Info (332119): 31.017 0.000 spi_sck + Info (332119): 49.225 0.000 clk_10mhz + Info (332119): 1248.810 0.000 spi_ce0 + Info (332119): 1248.907 0.000 spi_ce1 + Info (332119): 1249.596 0.000 spi_slave:spi_slave_rx_inst|done + Info (332119): 1249.675 0.000 spi_slave:spi_slave_rx2_inst|done Info (332119): 2603.671 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0] Info (332119): 16666.212 0.000 PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1] Info (332114): Report Metastability: Found 64 synchronizer chains. @@ -7268,15 +7268,15 @@ Info (332114): Report Metastability: Found 64 synchronizer chains. Info (332114): Number of Synchronizer Chains Found: 64 Info (332114): Shortest Synchronizer Chain: 2 Registers Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 0.500 - Info (332114): Worst Case Available Settling Time: 20.161 ns + Info (332114): Worst Case Available Settling Time: 19.717 ns Info (332114): Info (332114): Typical MTBF values are calculated based on the nominal silicon characteristics, at nominal operating conditions. Info (332114): - Under typical conditions, an increase of 100ps in available settling time will increase MTBF values by a factor of 10.8 Info (332101): Design is fully constrained for setup requirements Info (332101): Design is fully constrained for hold requirements Info: Quartus Prime TimeQuest Timing Analyzer was successful. 0 errors, 10 warnings - Info: Peak virtual memory: 853 megabytes - Info: Processing ended: Sat Feb 24 19:55:21 2018 + Info: Peak virtual memory: 854 megabytes + Info: Processing ended: Fri Mar 09 21:38:13 2018 Info: Elapsed time: 00:00:13 Info: Total CPU time (on all processors): 00:00:15 diff --git a/firmware/output_files/radioberry-10CL016.sta.summary b/firmware/output_files/radioberry-10CL016.sta.summary index 9d2f398..4f9ae47 100644 --- a/firmware/output_files/radioberry-10CL016.sta.summary +++ b/firmware/output_files/radioberry-10CL016.sta.summary @@ -3,59 +3,63 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Slow 1200mV 85C Model Setup 'spi_sck' -Slack : 0.283 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Setup 'spi_ce0' -Slack : 0.286 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Setup 'ad9866_clk' -Slack : 0.646 +Slack : 0.080 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'spi_slave:spi_slave_rx2_inst|done' -Slack : 0.732 +Slack : 0.384 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'virt_ad9866_rxclk' -Slack : 1.131 +Slack : 0.442 +TNS : 0.000 + +Type : Slow 1200mV 85C Model Setup 'spi_ce0' +Slack : 0.515 +TNS : 0.000 + +Type : Slow 1200mV 85C Model Setup 'ad9866_clk' +Slack : 0.550 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'spi_slave:spi_slave_rx_inst|done' -Slack : 1.487 +Slack : 1.349 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 1.614 +Slack : 1.418 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'clk_10mhz' -Slack : 91.911 +Slack : 92.640 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'spi_ce1' -Slack : 2496.334 +Slack : 2494.853 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' -Slack : 2599.539 +Slack : 2598.989 TNS : 0.000 Type : Slow 1200mV 85C Model Setup 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' -Slack : 33325.980 +Slack : 33325.302 TNS : 0.000 -Type : Slow 1200mV 85C Model Hold 'spi_ce0' -Slack : 0.258 +Type : Slow 1200mV 85C Model Hold 'spi_ce1' +Slack : 0.370 TNS : 0.000 Type : Slow 1200mV 85C Model Hold 'ad9866_clk' -Slack : 0.344 +Slack : 0.385 TNS : 0.000 -Type : Slow 1200mV 85C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' -Slack : 0.454 +Type : Slow 1200mV 85C Model Hold 'spi_ce0' +Slack : 0.426 +TNS : 0.000 + +Type : Slow 1200mV 85C Model Hold 'spi_sck' +Slack : 0.447 TNS : 0.000 Type : Slow 1200mV 85C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' @@ -66,28 +70,24 @@ Type : Slow 1200mV 85C Model Hold 'clk_10mhz' Slack : 0.454 TNS : 0.000 -Type : Slow 1200mV 85C Model Hold 'spi_ce1' -Slack : 0.476 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'spi_sck' -Slack : 0.476 +Type : Slow 1200mV 85C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' +Slack : 0.455 TNS : 0.000 Type : Slow 1200mV 85C Model Hold 'spi_slave:spi_slave_rx_inst|done' -Slack : 0.564 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 1.174 +Slack : 0.709 TNS : 0.000 Type : Slow 1200mV 85C Model Hold 'spi_slave:spi_slave_rx2_inst|done' -Slack : 1.564 +Slack : 1.524 +TNS : 0.000 + +Type : Slow 1200mV 85C Model Hold 'ad9866:ad9866_inst|dut1_pc[0]' +Slack : 1.759 TNS : 0.000 Type : Slow 1200mV 85C Model Hold 'virt_ad9866_rxclk' -Slack : 14.885 +Slack : 15.143 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'ad9866_rxclk' @@ -99,99 +99,95 @@ Slack : -2.666 TNS : -2.666 Type : Slow 1200mV 85C Model Minimum Pulse Width 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 4.607 +Slack : 4.642 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'ad9866_clk' -Slack : 5.751 +Slack : 5.747 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'spi_sck' -Slack : 31.545 +Slack : 31.573 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'clk_10mhz' -Slack : 49.516 +Slack : 49.555 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'spi_ce0' -Slack : 1248.952 +Slack : 1248.954 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'spi_ce1' -Slack : 1249.122 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'spi_slave:spi_slave_rx_inst|done' -Slack : 1249.363 +Slack : 1249.077 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'spi_slave:spi_slave_rx2_inst|done' -Slack : 1249.369 +Slack : 1249.371 +TNS : 0.000 + +Type : Slow 1200mV 85C Model Minimum Pulse Width 'spi_slave:spi_slave_rx_inst|done' +Slack : 1249.378 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' -Slack : 2603.373 +Slack : 2603.370 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' -Slack : 16665.873 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Setup 'spi_ce0' -Slack : 0.240 +Slack : 16665.870 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'spi_sck' -Slack : 0.475 +Slack : 0.293 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'spi_slave:spi_slave_rx2_inst|done' -Slack : 0.768 +Slack : 0.422 +TNS : 0.000 + +Type : Slow 1200mV 0C Model Setup 'spi_ce0' +Slack : 0.437 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'ad9866_clk' -Slack : 1.199 +Slack : 1.088 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'spi_slave:spi_slave_rx_inst|done' -Slack : 1.560 +Slack : 1.441 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 1.983 +Slack : 1.644 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'virt_ad9866_rxclk' -Slack : 2.561 +Slack : 1.915 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'clk_10mhz' -Slack : 92.340 +Slack : 93.046 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'spi_ce1' -Slack : 2496.630 +Slack : 2495.085 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' -Slack : 2599.732 +Slack : 2599.239 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' -Slack : 33326.295 +Slack : 33325.618 TNS : 0.000 -Type : Slow 1200mV 0C Model Hold 'spi_ce0' -Slack : 0.241 +Type : Slow 1200mV 0C Model Hold 'spi_ce1' +Slack : 0.341 TNS : 0.000 Type : Slow 1200mV 0C Model Hold 'ad9866_clk' -Slack : 0.329 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' -Slack : 0.403 +Slack : 0.387 TNS : 0.000 Type : Slow 1200mV 0C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' @@ -202,28 +198,32 @@ Type : Slow 1200mV 0C Model Hold 'clk_10mhz' Slack : 0.403 TNS : 0.000 -Type : Slow 1200mV 0C Model Hold 'spi_ce1' -Slack : 0.423 +Type : Slow 1200mV 0C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' +Slack : 0.404 +TNS : 0.000 + +Type : Slow 1200mV 0C Model Hold 'spi_ce0' +Slack : 0.407 TNS : 0.000 Type : Slow 1200mV 0C Model Hold 'spi_sck' -Slack : 0.437 +Slack : 0.410 TNS : 0.000 Type : Slow 1200mV 0C Model Hold 'spi_slave:spi_slave_rx_inst|done' -Slack : 0.574 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 1.219 +Slack : 0.703 TNS : 0.000 Type : Slow 1200mV 0C Model Hold 'spi_slave:spi_slave_rx2_inst|done' -Slack : 1.599 +Slack : 1.541 +TNS : 0.000 + +Type : Slow 1200mV 0C Model Hold 'ad9866:ad9866_inst|dut1_pc[0]' +Slack : 1.754 TNS : 0.000 Type : Slow 1200mV 0C Model Hold 'virt_ad9866_rxclk' -Slack : 14.058 +Slack : 14.280 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'ad9866_rxclk' @@ -235,35 +235,35 @@ Slack : -2.666 TNS : -2.666 Type : Slow 1200mV 0C Model Minimum Pulse Width 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 4.543 +Slack : 4.550 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'ad9866_clk' -Slack : 5.748 +Slack : 5.706 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'spi_sck' -Slack : 31.454 +Slack : 31.477 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'clk_10mhz' -Slack : 49.411 +Slack : 49.459 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'spi_ce0' -Slack : 1249.076 +Slack : 1249.091 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'spi_ce1' -Slack : 1249.187 +Slack : 1249.208 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'spi_slave:spi_slave_rx_inst|done' -Slack : 1249.229 +Slack : 1249.297 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'spi_slave:spi_slave_rx2_inst|done' -Slack : 1249.453 +Slack : 1249.412 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' @@ -275,91 +275,91 @@ Slack : 16665.935 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'spi_sck' -Slack : 0.471 +Slack : 0.564 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'ad9866_clk' -Slack : 1.373 +Slack : 1.346 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'spi_ce0' -Slack : 1.794 +Slack : 1.890 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'spi_slave:spi_slave_rx2_inst|done' -Slack : 2.633 +Slack : 2.443 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'spi_slave:spi_slave_rx_inst|done' -Slack : 2.854 +Slack : 2.815 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 3.284 +Slack : 3.213 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'virt_ad9866_rxclk' -Slack : 5.943 +Slack : 5.586 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'clk_10mhz' -Slack : 96.266 +Slack : 96.870 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'spi_ce1' -Slack : 2498.420 +Slack : 2497.734 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' -Slack : 2602.186 +Slack : 2601.894 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' -Slack : 33330.158 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'spi_ce0' -Slack : 0.048 +Slack : 33329.832 TNS : 0.000 Type : Fast 1200mV 0C Model Hold 'ad9866_clk' -Slack : 0.099 +Slack : 0.066 +TNS : 0.000 + +Type : Fast 1200mV 0C Model Hold 'spi_ce1' +Slack : 0.120 +TNS : 0.000 + +Type : Fast 1200mV 0C Model Hold 'spi_ce0' +Slack : 0.146 TNS : 0.000 Type : Fast 1200mV 0C Model Hold 'spi_slave:spi_slave_rx_inst|done' -Slack : 0.101 +Slack : 0.173 TNS : 0.000 Type : Fast 1200mV 0C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' Slack : 0.185 TNS : 0.000 +Type : Fast 1200mV 0C Model Hold 'spi_sck' +Slack : 0.185 +TNS : 0.000 + Type : Fast 1200mV 0C Model Hold 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[1]' Slack : 0.187 TNS : 0.000 Type : Fast 1200mV 0C Model Hold 'clk_10mhz' -Slack : 0.187 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'spi_sck' Slack : 0.188 TNS : 0.000 -Type : Fast 1200mV 0C Model Hold 'spi_ce1' -Slack : 0.194 +Type : Fast 1200mV 0C Model Hold 'spi_slave:spi_slave_rx2_inst|done' +Slack : 0.484 TNS : 0.000 Type : Fast 1200mV 0C Model Hold 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 0.319 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'spi_slave:spi_slave_rx2_inst|done' -Slack : 0.513 +Slack : 0.632 TNS : 0.000 Type : Fast 1200mV 0C Model Hold 'virt_ad9866_rxclk' -Slack : 11.346 +Slack : 11.456 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'ad9866_rxclk' @@ -371,35 +371,35 @@ Slack : 2.563 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'ad9866:ad9866_inst|dut1_pc[0]' -Slack : 4.842 +Slack : 4.809 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'ad9866_clk' -Slack : 5.460 +Slack : 5.465 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'spi_sck' -Slack : 30.987 +Slack : 31.017 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'clk_10mhz' -Slack : 49.189 +Slack : 49.225 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'spi_ce0' -Slack : 1248.849 +Slack : 1248.810 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'spi_ce1' -Slack : 1248.970 +Slack : 1248.907 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'spi_slave:spi_slave_rx_inst|done' -Slack : 1249.572 +Slack : 1249.596 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'spi_slave:spi_slave_rx2_inst|done' -Slack : 1249.668 +Slack : 1249.675 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'PLL_IAMBIC_inst|altpll_component|auto_generated|pll1|clk[0]' diff --git a/firmware/radioberry-10CL016.qws b/firmware/radioberry-10CL016.qws deleted file mode 100644 index 63563b76eda4b19c3f4f321afd3f1b7df67b8d5e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 48 ocmZ?JV1NM`h8%`OhGK>ihIoc@hJ1!1hHN0O04SEskP1@-0GYrBX8-^I diff --git a/firmware/radioberry.qpf b/firmware/radioberry.qpf index 47f26f2..989629e 100644 --- a/firmware/radioberry.qpf +++ b/firmware/radioberry.qpf @@ -19,14 +19,14 @@ # # Quartus Prime # Version 17.0.2 Build 602 07/19/2017 SJ Lite Edition -# Date created = 17:28:38 February 28, 2018 +# Date created = 21:33:01 March 09, 2018 # # -------------------------------------------------------------------------- # QUARTUS_VERSION = "17.0" -DATE = "17:28:38 February 28, 2018" +DATE = "21:33:01 March 09, 2018" # Revisions -PROJECT_REVISION = "radioberry" PROJECT_REVISION = "radioberry-10CL016" +PROJECT_REVISION = "radioberry" diff --git a/firmware/radioberry.qws b/firmware/radioberry.qws index f883580f2cb62be7356cf414c696a41fc4d58865..aa7c0818aa0cbafcf5cf61c1ff3d7cde9f7910ae 100644 GIT binary patch delta 64 zcmZ3>^@nvrFeBr{kV?is6VD~6GcYi4F(@#&F=R62F{Cg8xj?{t9YVv{%yyGEGM}6* H!gLP+@bwNC delta 295 zcmZ9HyAAPl;r<3lz^>Kz7qU~sd{ZtWeo8C*ORbicCW~8s??&^; Ie>vOn0bt!e3IG5A