Change 6847 CPLD to different type (3)

pull/113/head
IanSB 2019-12-03 23:48:07 +00:00
rodzic 3d7ca5c262
commit db566710d9
4 zmienionych plików z 121 dodań i 32 usunięć

Wyświetl plik

@ -1,5 +1,5 @@
Programmer Jedec Bit Map
Date Extracted: Tue Dec 03 15:31:07 2019
Date Extracted: Tue Dec 03 23:03:31 2019
QF46656*
QP44*
@ -198,10 +198,10 @@ L0004352 00000000 10000000 00000000 00000000*
L0004384 00000000 00000000 00010000 00000000*
L0004416 00000000 00000000 11100000 00000000*
L0004448 00000000 00000000 00001000 00000000*
L0004480 00000100 10000000 10011100 10000100*
L0004512 00000100 10000000 10011100 00000100*
L0004544 00000100 10000000 10011100 00000100*
L0004576 00000100 10000000 11111100 00011100*
L0004480 00000100 10000000 10011000 10000100*
L0004512 00000100 10000000 10011000 00000100*
L0004544 00000100 10000000 10011000 00000100*
L0004576 00000100 10000000 11111000 00011100*
L0004608 000001 100000 100111 000001*
L0004632 000010 000000 000010 010010*
L0004656 000010 000000 000010 000010*
@ -214,7 +214,7 @@ L0004816 00000000 00000000 00000000 00100000*
L0004848 00000000 00000000 00000000 00000000*
L0004880 00000000 00000000 00000000 00000000*
L0004912 00000000 00000000 00000000 00010000*
L0004944 00000000 00000000 00000000 00100000*
L0004944 00000000 00000000 00000100 00100000*
L0004976 00000000 00000000 00000000 00100000*
L0005008 00000000 00000000 00000000 00000000*
L0005040 000000 000000 000000 000000*
@ -440,8 +440,8 @@ L0011328 00000011 00000011 00000011 00000011*
L0011360 00000011 00000011 00001011 00000111*
L0011392 00000011 00000011 00000011 00000011*
L0011424 00000011 00000011 00000011 00000011*
L0011456 00000011 00000011 00000011 00001011*
L0011488 00000011 00000011 00000011 00001011*
L0011456 00000011 00000011 00000111 00001011*
L0011488 00000011 00000011 00000111 00001011*
L0011520 000001 100000 100111 000001*
L0011544 000000 000000 000000 000000*
L0011568 000000 000000 000000 000000*
@ -455,7 +455,7 @@ L0011760 00000000 00000000 00000000 00000100*
L0011792 00000000 00000000 00000000 00000000*
L0011824 00000000 00000000 00000000 00000000*
L0011856 00000000 00000000 00000000 00101000*
L0011888 00000100 10000000 10011100 00110100*
L0011888 00000100 10000000 10011000 00110100*
L0011920 00000000 00000000 00000000 00010000*
L0011952 000000 000000 000000 000000*
L0011976 000000 000000 000000 000000*
@ -468,7 +468,7 @@ L0012128 00000000 00000000 00000000 00000000*
L0012160 00000000 00000000 00001000 00000000*
L0012192 00000000 00000000 00000000 00000000*
L0012224 00000000 00000000 00000000 00000000*
L0012256 00001000 00000000 00000000 00000000*
L0012256 00001000 00000000 00000100 00000000*
L0012288 00000000 00000000 00000000 00010000*
L0012320 00000000 00000000 00000000 00000000*
L0012352 00000000 00000000 00000000 00000000*
@ -483,11 +483,11 @@ L0012560 00000000 10000000 00000000 00000000*
L0012592 00000000 00000000 00010000 00000000*
L0012624 00000000 00000000 00000000 00000000*
L0012656 00000000 00000000 00000000 00000000*
L0012688 00000100 10000000 10010100 00000100*
L0012688 00000100 10000000 10010000 00000100*
L0012720 00000100 10000000 10010100 00000100*
L0012752 00000100 10000000 10010100 00000100*
L0012784 00000100 10000000 10010100 00011100*
L0012816 000001 100000 100111 000001*
L0012816 000001 100000 100110 000001*
L0012840 000000 000000 001010 000010*
L0012864 000000 000000 001010 000010*
L0012888 000000 000000 001010 000010*
@ -635,7 +635,7 @@ L0016944 00000000 00000000 00000000 00000001*
L0016976 00000000 00000000 00000000 00000010*
L0017008 00000000 00000000 00000000 00000010*
L0017040 00000000 00000000 00000000 00000010*
L0017072 00000000 00000000 00000000 00000010*
L0017072 00000000 00000000 00000001 00000010*
L0017104 00000000 00000000 00000000 00000010*
L0017136 000000 000000 000000 000000*
L0017160 000010 000000 000000 000000*
@ -981,7 +981,7 @@ L0026912 00000001 00000000 00001010 00000000*
L0026944 00000000 00000000 00000000 00000000*
L0026976 00000000 00000000 00000000 00000000*
L0027008 00000000 00000000 00000000 00000000*
L0027040 00000000 00000000 00000000 00000000*
L0027040 00000000 00000000 00000100 00000000*
L0027072 000001 000000 000010 000000*
L0027096 000000 000000 000000 000000*
L0027120 000000 000000 000000 000000*
@ -1070,8 +1070,8 @@ L0029472 00000000 00000000 00000000 00000000*
L0029504 00000010 00000000 00001000 10000000*
L0029536 00000000 00000000 00000000 00000000*
L0029568 00000000 00000000 00000000 00000000*
L0029600 00000000 00000000 00000000 00010000*
L0029632 00000000 00000000 00000000 00011000*
L0029600 00000000 00000000 00000100 00010000*
L0029632 00000000 00000000 00000100 00011000*
L0029664 000001 100000 100111 000001*
L0029688 000000 000000 000000 000100*
L0029712 000000 000000 000000 000000*
@ -1086,7 +1086,7 @@ L0029936 00000010 00000000 00000001 00000001*
L0029968 00000000 00000000 00000000 00000000*
L0030000 00000000 00000000 00000000 00100000*
L0030032 00000000 00000000 00000000 00100000*
L0030064 00000100 10000000 10011100 10000100*
L0030064 00000100 10000000 10011000 10000100*
L0030096 000000 000000 000000 100000*
L0030120 000000 000000 000000 000000*
L0030144 000000 000000 000000 111000*
@ -1115,7 +1115,7 @@ L0030768 00000000 00000000 00000000 00000000*
L0030800 00000010 00000001 00001001 00000111*
L0030832 00000000 00000000 00000000 00000000*
L0030864 00000000 00000000 00000000 00000000*
L0030896 00000000 00000000 00000000 00000000*
L0030896 00000000 00000000 00000100 00000000*
L0030928 00000000 00000000 00000000 00000000*
L0030960 000001 000000 000010 000000*
L0030984 000000 000000 100000 000000*
@ -1160,8 +1160,8 @@ L0032064 00000000 00000000 00000000 00000000*
L0032096 00000011 00000001 00001001 00000011*
L0032128 00000000 00000000 00000000 00000000*
L0032160 00000000 00000000 00000000 00000000*
L0032192 00000000 00000000 00000000 00011000*
L0032224 00000000 00000000 00000000 00011000*
L0032192 00000000 00000000 00000100 00011000*
L0032224 00000000 00000000 00000100 00011000*
L0032256 000001 100000 100111 000001*
L0032280 000000 000000 000000 000000*
L0032304 000000 000000 000000 000001*
@ -1173,7 +1173,7 @@ L0032432 00000000 00000000 00000000 00111000*
L0032464 00000000 00000000 00000000 00111000*
L0032496 00000000 00000000 00000000 00000000*
L0032528 00000010 00000000 00000010 00000000*
L0032560 00000100 10000000 10011100 00000100*
L0032560 00000100 10000000 10011000 00000100*
L0032592 00000000 00000000 00000000 00101000*
L0032624 00000000 00000000 00000000 00100000*
L0032656 00000000 00000000 00000000 10000000*
@ -1368,11 +1368,11 @@ L0038048 00000000 00000000 00000000 00000000*
L0038080 00000000 00000000 00000000 00000000*
L0038112 00000000 00000000 00000000 00000000*
L0038144 00000000 00000000 00000000 00000000*
L0038176 00000000 00000000 00000000 00000000*
L0038176 00000000 00000000 00000100 00000000*
L0038208 00000000 00000000 00000000 00000000*
L0038240 00000000 00000000 00000000 00000000*
L0038272 00000000 00000000 00000000 00000000*
L0038304 000000 000000 000000 000000*
L0038304 000000 000000 000001 000000*
L0038328 000000 000000 000000 000001*
L0038352 000000 000000 000000 000000*
L0038376 000000 000000 000000 000000*
@ -1383,7 +1383,7 @@ L0038480 00000000 00000000 00000000 00000100*
L0038512 00000000 00000000 00000000 00000000*
L0038544 00000000 00000000 00000000 00000000*
L0038576 00000000 00000000 00000000 00000000*
L0038608 00000000 00000000 00000100 00000000*
L0038608 00000000 00000000 00000000 00000000*
L0038640 00000000 00000000 00000100 00000000*
L0038672 00000000 00000000 00000100 00000000*
L0038704 00000000 00000000 00000100 00000000*
@ -1580,8 +1580,8 @@ L0044160 00000000 00000000 00000000 00000000*
L0044192 00000000 00000000 00001000 00000000*
L0044224 00000000 00000000 00000000 00000000*
L0044256 00000000 00000000 00000000 00000000*
L0044288 00000000 00000000 00000000 00010000*
L0044320 00000000 00000000 00000000 00011000*
L0044288 00000000 00000000 00000100 00010000*
L0044320 00000000 00000000 00000100 00011000*
L0044352 000001 100000 100111 000001*
L0044376 000000 000000 000000 000000*
L0044400 000000 000000 000000 000000*
@ -1594,7 +1594,7 @@ L0044560 00000000 00000000 00000000 00110100*
L0044592 00000000 00000000 00000000 00000000*
L0044624 00000000 00000000 00000000 00000000*
L0044656 00000000 00000000 00000000 00000000*
L0044688 00000100 10000000 10011100 00100100*
L0044688 00000100 10000000 10011000 00100100*
L0044720 00000000 00000000 00000000 00100000*
L0044752 00000000 00000000 00000000 00000000*
L0044784 000000 000000 000000 100000*
@ -1663,5 +1663,5 @@ L0046560 000000 000000 000000 000000*
L0046584 000000 000000 000000 000000*
L0046608 000000 000000 000000 000000*
L0046632 000000 000000 000000 000000*
CABEC*
1EC6
CACCC*
1EC9

Wyświetl plik

@ -21,7 +21,7 @@ entity RGBtoHDMI is
BL_I: in std_logic;
BH_I: in std_logic;
LL_I: in std_logic;
LH_I: in std_logic;
LH_I: in std_logic;
HS_I: in std_logic;
FS_I: in std_logic;
@ -48,8 +48,8 @@ end RGBtoHDMI;
architecture Behavorial of RGBtoHDMI is
-- Version number: Design_Major_Minor
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"230";
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD, 3=YUV6847 CPLD
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"330";
-- Default offset to start sampling at
constant default_offset : unsigned(8 downto 0) := to_unsigned(512 - 255 + 8, 9);

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -0,0 +1,89 @@
<?xml version="1.0" encoding="utf-8"?>
<!--This is an ISE project configuration file.-->
<!--It holds project specific layout data for the projectmgr plugin.-->
<!--Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved.-->
<Project version="2" owner="projectmgr" name="RGBtoHDMI" >
<!--This is an ISE project configuration file.-->
<ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
<ClosedNodes>
<ClosedNodesVersion>2</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems>
<SelectedItem>RGBtoHDMI - Behavorial (C:/Github/RGBtoHDMI/vhdl_YUV_6847_ 6 bit/RGBtoHDMI.vhdl)</SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000123000000020000000000000000000000000200000064ffffffff000000810000000300000002000001230000000100000003000000000000000100000003</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>RGBtoHDMI - Behavorial (C:/Github/RGBtoHDMI/vhdl_YUV_6847_ 6 bit/RGBtoHDMI.vhdl)</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>Design Utilities</ClosedNode>
<ClosedNode>Implement Design</ClosedNode>
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView guiview="File" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
</ClosedNodes>
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000004e1000000040101000100000000000000000000000064ffffffff0000008100000000000000040000006c00000001000000000000009d0000000100000000000000660000000100000000000003720000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>RGBtoHDMI.ucf</CurrentItem>
</ItemView>
<ItemView guiview="Library" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>work</ClosedNode>
</ClosedNodes>
<SelectedItems/>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem>work</CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>Design Utilities</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
<ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
<ClosedNodes>
<ClosedNodesVersion>1</ClosedNodesVersion>
<ClosedNode>User Constraints</ClosedNode>
</ClosedNodes>
<SelectedItems>
<SelectedItem></SelectedItem>
</SelectedItems>
<ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
<ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
<ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f6000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f60000000100000000</ViewHeaderState>
<UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
<CurrentItem></CurrentItem>
</ItemView>
<SourceProcessView>000000ff00000000000000020000011b0000011b01000000040100000002</SourceProcessView>
<CurrentView>Implementation</CurrentView>
</Project>