From cfe496d1fa1dff8005344a4500a4e45ca5b34109 Mon Sep 17 00:00:00 2001 From: IanSB Date: Mon, 20 Jul 2020 21:23:47 +0100 Subject: [PATCH] Update YUV CPLD to 8.3 - Allow separate 4 level mode on Y and UV --- vhdl_YUV_6bit/RGBtoHDMI.jed | 1667 ------------------------------- vhdl_YUV_6bit/RGBtoHDMI.vhdl | 15 +- vhdl_YUV_6bit/YUV_CPLD_v82.xsvf | Bin 53289 -> 0 bytes vhdl_YUV_6bit/YUV_CPLD_v83.xsvf | Bin 0 -> 53289 bytes 4 files changed, 10 insertions(+), 1672 deletions(-) delete mode 100644 vhdl_YUV_6bit/RGBtoHDMI.jed delete mode 100644 vhdl_YUV_6bit/YUV_CPLD_v82.xsvf create mode 100644 vhdl_YUV_6bit/YUV_CPLD_v83.xsvf diff --git a/vhdl_YUV_6bit/RGBtoHDMI.jed b/vhdl_YUV_6bit/RGBtoHDMI.jed deleted file mode 100644 index e0f8a02d..00000000 --- a/vhdl_YUV_6bit/RGBtoHDMI.jed +++ /dev/null @@ -1,1667 +0,0 @@ -Programmer Jedec Bit Map -Date Extracted: Mon Jul 06 00:23:35 2020 - -QF46656* -QP44* -QV0* -F0* -X0* -J0 0* -N VERSION P.20131013* -N DEVICE XC9572XL-10-VQ44* -N PPMAP 24 1* -N PPMAP 46 12* -N PPMAP 49 13* -N PPMAP 50 14* -N PPMAP 52 16* -N PPMAP 59 18* -N PPMAP 62 19* -N PPMAP 26 2* -N PPMAP 63 20* -N PPMAP 65 21* -N PPMAP 68 22* -N PPMAP 72 23* -N PPMAP 82 27* -N PPMAP 83 28* -N PPMAP 87 29* -N PPMAP 27 3* -N PPMAP 88 30* -N PPMAP 89 31* -N PPMAP 90 32* -N PPMAP 92 33* -N PPMAP 3 34* -N PPMAP 7 36* -N PPMAP 9 37* -N PPMAP 10 38* -N PPMAP 11 39* -N PPMAP 12 40* -N PPMAP 13 41* -N PPMAP 15 42* -N PPMAP 20 43* -N PPMAP 21 44* -N PPMAP 29 5* -N PPMAP 31 6* -N PPMAP 33 7* -N PPMAP 38 8* -L0000000 00000000 00000000 00000000 00000000* -L0000032 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000* -L0000096 00000000 00000000 00000000 00000000* -L0000128 00000000 00000000 00000000 00000000* -L0000160 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000* -L0000224 00000000 00000000 00000000 00000000* -L0000256 00000000 00000000 00000000 00000000* -L0000288 000000 000000 000000 000000* -L0000312 000000 000000 000000 000000* -L0000336 000000 000000 000000 000000* -L0000360 000000 000000 000000 000000* -L0000384 000000 000000 000000 000000* -L0000408 000000 000000 000000 000000* -L0000432 00000000 00000000 00000000 00000000* -L0000464 00000000 00000000 00000000 00000000* -L0000496 00000000 00000000 00000000 00000000* -L0000528 00000000 00000000 00000000 00000000* -L0000560 00000000 00000000 00000000 00000100* -L0000592 00000000 00000000 00000000 00000000* -L0000624 00000000 00000000 00000000 00000000* -L0000656 00000000 00000000 00000000 00000000* -L0000688 00000000 00000000 00000000 00000000* -L0000720 000000 000000 000000 000000* -L0000744 000000 000000 000000 000000* -L0000768 000000 000000 000000 000000* -L0000792 000000 000000 000000 000000* -L0000816 000000 000000 000000 000000* -L0000840 000000 000000 000000 000000* -L0000864 00000000 00000000 00000000 00000000* -L0000896 00000010 00000000 00000000 00000000* -L0000928 00000010 00000000 00000000 00000000* -L0000960 00000000 00000000 00000000 00000000* -L0000992 00000000 00000000 00000000 00000000* -L0001024 00000100 00000000 10011000 00000100* -L0001056 00001000 10000000 00000000 00000000* -L0001088 00000000 00000000 00000000 00000000* -L0001120 00000000 00000000 00000000 00000000* -L0001152 000000 000000 000000 000000* -L0001176 000000 000000 000010 000010* -L0001200 000010 000000 001000 000000* -L0001224 000000 000000 000000 000000* -L0001248 000000 000000 000000 000000* -L0001272 000000 000000 000001 000000* -L0001296 00000000 00000000 00000000 00000000* -L0001328 00000000 00000000 00000000 00000000* -L0001360 00000000 00000000 00010000 00000000* -L0001392 00000000 00000000 00000000 00000000* -L0001424 00000100 00000000 00001000 00000100* -L0001456 00001000 10000000 00000000 00000000* -L0001488 00000100 00000000 00001000 00000000* -L0001520 00000100 00000000 00001000 00000000* -L0001552 00000100 00000000 00001000 00000000* -L0001584 000001 000000 000010 000010* -L0001608 000010 000000 001000 000000* -L0001632 000000 000000 100010 000000* -L0001656 000001 000000 000011 000000* -L0001680 000001 000000 000010 000000* -L0001704 000001 000000 000010 000000* -L0001728 00000000 00000000 00001000 00000000* -L0001760 00000000 00000000 00001000 01000000* -L0001792 00000000 00000000 00001000 01000000* -L0001824 00000100 00000000 00000000 01000000* -L0001856 00000000 00000000 00000000 01000000* -L0001888 00000000 00000000 00000000 00000000* -L0001920 00000000 00000000 00010000 00000000* -L0001952 00010000 00000000 00010000 00100000* -L0001984 00010000 00000000 00010000 00000000* -L0002016 000000 000000 000000 000000* -L0002040 000000 000000 000000 000000* -L0002064 000000 000000 000100 000000* -L0002088 000010 000000 000000 000000* -L0002112 000010 000000 000000 100000* -L0002136 000010 000000 000000 100000* -L0002160 00000000 00000000 00000000 01000000* -L0002192 00000000 00000000 00000000 00000000* -L0002224 00000000 00000000 00000000 00000000* -L0002256 00000000 00000000 00000000 00000000* -L0002288 00000000 00000000 00000000 00000000* -L0002320 00000000 10000000 00000000 00000000* -L0002352 00000000 00000000 00000000 00000000* -L0002384 00000000 00000000 00000000 00000000* -L0002416 00000000 00000000 00000000 00000000* -L0002448 000000 000000 000100 000000* -L0002472 000000 000000 000100 000000* -L0002496 000000 000000 000000 100000* -L0002520 000000 000000 000000 000000* -L0002544 000000 000000 000100 000000* -L0002568 000000 000000 000100 000000* -L0002592 00000010 00000000 00000000 00000000* -L0002624 00000010 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000* -L0002688 00000001 00000000 00000000 00000000* -L0002720 00000010 00000000 00000000 00000000* -L0002752 00000000 00000000 00000000 00000000* -L0002784 00000010 00000000 00000000 00000000* -L0002816 00000011 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000* -L0002880 000000 000000 000000 000000* -L0002904 000000 000000 000000 000000* -L0002928 000000 000000 000000 000000* -L0002952 000000 000000 000000 000000* -L0002976 000000 000000 000000 000000* -L0003000 000000 000000 000000 000000* -L0003024 00000010 00000000 00000000 00000000* -L0003056 00000000 00000000 00000000 00000000* -L0003088 00000000 00000000 00000000 00000000* -L0003120 00000001 00000000 00000000 00000000* -L0003152 00000010 00000000 00010000 00000000* -L0003184 00000011 01000000 00000000 00000000* -L0003216 00000010 00000000 00000000 00000000* -L0003248 00000000 00000000 00000000 00000000* -L0003280 00000000 00000000 00000000 00000000* -L0003312 000000 000000 000000 000010* -L0003336 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000* -L0003384 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000* -L0003432 000000 000000 000000 000000* -L0003456 00000000 00000000 10000100 01000000* -L0003488 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 01000000* -L0003552 00000000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 01000000* -L0003616 00000000 00000000 00000000 00000000* -L0003648 00000100 00000000 00000000 00100000* -L0003680 00000000 00000000 00000000 00000000* -L0003712 00000000 00000000 00000000 10000000* -L0003744 000000 000000 000000 100000* -L0003768 000000 000000 000000 000000* -L0003792 000000 000000 000010 000000* -L0003816 000000 000000 000000 000000* -L0003840 000000 000000 000000 000000* -L0003864 000000 000000 000000 000000* -L0003888 00000000 00000000 00000000 00000000* -L0003920 00000000 00000000 00000000 01000000* -L0003952 00000000 00000000 00000000 00000000* -L0003984 00000000 00000000 00000000 01000000* -L0004016 00000100 00000000 00000000 00000000* -L0004048 00000000 00000000 00000000 10000000* -L0004080 00000000 00000000 10001100 00000000* -L0004112 00000000 00000000 10001100 00000000* -L0004144 00000000 00000000 00000000 00000000* -L0004176 000000 000000 100011 000000* -L0004200 000000 010000 000000 100000* -L0004224 000000 000000 000000 100000* -L0004248 000001 000000 000000 000000* -L0004272 000000 000000 000000 000000* -L0004296 000001 000000 000000 000000* -L0004320 00000000 00000000 00101000 00101100* -L0004352 00000000 00000000 00000000 00000100* -L0004384 00000000 00000000 10000100 00001000* -L0004416 00000000 00000000 00000000 00000000* -L0004448 00000100 00000000 00000000 00001000* -L0004480 00000000 00000000 01100000 00000000* -L0004512 00000000 00000000 10011100 01001000* -L0004544 00000000 00000000 00010000 00000000* -L0004576 00000100 00000000 00000000 00100000* -L0004608 000000 000000 000000 000000* -L0004632 000000 000000 010000 011100* -L0004656 000000 000000 000000 000000* -L0004680 000000 000000 000100 000000* -L0004704 000000 000000 000010 000010* -L0004728 000000 000000 000000 011100* -L0004752 00000000 00100000 00000000 00000000* -L0004784 00000000 00000000 00000000 00001000* -L0004816 00000000 00000000 00100000 00100100* -L0004848 00000000 00000000 00000000 00001000* -L0004880 00000000 00000000 00000000 00000000* -L0004912 00000000 00000000 00000000 00001000* -L0004944 00000000 00000000 00000000 00000000* -L0004976 00000000 00000000 01100000 01001000* -L0005008 00000000 00000000 10011100 00000000* -L0005040 000000 000000 100111 001000* -L0005064 000000 000000 000000 000000* -L0005088 000000 000000 000000 011110* -L0005112 000000 000000 010000 011100* -L0005136 000001 000000 000100 000000* -L0005160 000001 000000 000100 000010* -L0005184 00000001 00000001 00000011 00000000* -L0005216 00000011 00000011 00000011 00000011* -L0005248 00000011 00000011 00000011 00000010* -L0005280 00000011 00000011 00000011 00000001* -L0005312 00000011 00000011 00000011 00000011* -L0005344 00000011 00000011 00000011 00000011* -L0005376 00000011 00000011 00000011 00000011* -L0005408 00000011 00000011 00000010 00000011* -L0005440 00000011 00000011 00000011 00000011* -L0005472 000000 000000 000000 000000* -L0005496 000000 000000 000000 000000* -L0005520 000000 000000 000000 000000* -L0005544 000000 000000 000000 000000* -L0005568 000000 000000 000000 000000* -L0005592 000000 000000 000000 000000* -L0005616 00000010 00000000 00000000 00000010* -L0005648 00000000 00000000 00000000 00000000* -L0005680 00000000 00000000 00000000 00000001* -L0005712 00000000 00000000 01000000 00000010* -L0005744 00000000 00000000 00000000 00000000* -L0005776 00000000 00000000 00000000 00000000* -L0005808 00000000 00000000 00000000 00000000* -L0005840 00000000 00000000 00000001 00000000* -L0005872 00100000 00000000 00000000 00000000* -L0005904 000000 000000 000000 000000* -L0005928 000000 000000 000000 000000* -L0005952 000000 000000 000000 000000* -L0005976 000000 000000 000000 000000* -L0006000 000000 000000 100001 000000* -L0006024 000000 000000 000000 000000* -L0006048 00000001 00000000 00000011 00000000* -L0006080 00000001 00000010 00000000 00000011* -L0006112 00000001 00000000 00001001 00000010* -L0006144 00000001 00000000 00000001 00000001* -L0006176 00000001 00000000 00000010 00000001* -L0006208 00000001 00000000 00000011 00000010* -L0006240 00000011 00000000 00010011 00000011* -L0006272 00000001 00000000 00000010 00100010* -L0006304 00000011 00000000 00000010 00000011* -L0006336 000000 000000 000100 000000* -L0006360 000000 000000 000000 000000* -L0006384 000000 000000 000100 000000* -L0006408 000000 000000 000100 000000* -L0006432 000000 000000 000000 000000* -L0006456 000000 000000 000100 000000* -L0006480 00000010 00000000 00001000 00000010* -L0006512 00000010 00000000 00001011 00000000* -L0006544 00000000 00000000 00000010 00000001* -L0006576 00000000 00000000 00000000 00000010* -L0006608 00000000 00000000 00000001 00000010* -L0006640 00000000 00000000 00000000 00100001* -L0006672 00000000 00000000 00000000 00000000* -L0006704 00000000 00000000 00000001 00000001* -L0006736 00000000 00000000 00010001 00000000* -L0006768 000000 000000 000000 000000* -L0006792 000000 001000 000100 000000* -L0006816 000000 000000 000000 000000* -L0006840 000000 000000 000000 000000* -L0006864 000000 000000 000100 000000* -L0006888 000000 000000 000000 000000* -L0006912 00000000 00000000 00000010 00001000* -L0006944 00001001 00000000 00000000 00001011* -L0006976 00000001 00000000 00000000 10000000* -L0007008 00001001 00000000 00000001 00000000* -L0007040 00000000 00000000 00000010 00000001* -L0007072 00000000 00000000 00000011 00000010* -L0007104 00000001 00000000 00000011 00000011* -L0007136 00000001 00000000 00000010 00000010* -L0007168 00000000 00000000 00000000 00000011* -L0007200 000000 000000 000000 000000* -L0007224 001000 000000 000000 000000* -L0007248 001000 000000 000000 000000* -L0007272 000000 000000 000000 000000* -L0007296 000000 000000 000000 000000* -L0007320 000000 000000 000000 000000* -L0007344 00011010 00010000 00000001 00000010* -L0007376 00010000 00000000 00000011 10000000* -L0007408 00001000 00000000 00000001 00000011* -L0007440 00000000 00000000 00000000 00000011* -L0007472 00000000 00000000 00000001 00000010* -L0007504 00000001 00000000 00000000 00000001* -L0007536 00000000 00000000 00000000 00000000* -L0007568 00000000 00000000 00000001 00000001* -L0007600 00000001 00000000 00000001 00000000* -L0007632 000000 000000 000000 000000* -L0007656 000000 000000 000000 000100* -L0007680 000000 000000 001000 000100* -L0007704 000000 000000 000000 000000* -L0007728 000000 000000 000000 000000* -L0007752 000000 000000 000000 000000* -L0007776 00011000 00000001 00000010 00000000* -L0007808 00001001 00000001 00000000 00000011* -L0007840 00000001 00000001 00000010 00000000* -L0007872 00000001 00000011 00000010 00000000* -L0007904 00000000 00000011 00000000 00000001* -L0007936 00000000 00000011 00000001 00000010* -L0007968 00000001 00000011 00000001 00000000* -L0008000 00000011 00000011 00000000 00000000* -L0008032 00000000 00000011 00000001 00000011* -L0008064 000000 000000 000000 000000* -L0008088 001000 000000 000000 000000* -L0008112 000000 000000 000000 000000* -L0008136 000000 000000 000000 000000* -L0008160 000000 000000 000000 000000* -L0008184 000000 000000 000000 000000* -L0008208 00000010 00000001 00000010 00000010* -L0008240 00010000 00000001 00000011 00000000* -L0008272 00001000 00000001 00000011 00000011* -L0008304 00001000 00000011 00000011 00000011* -L0008336 00000000 00000011 00000001 00000000* -L0008368 00000001 00010011 00000000 00000001* -L0008400 00000000 00000011 00000001 00000001* -L0008432 00000010 00000011 00000001 10000011* -L0008464 00000001 00000011 00000001 00000000* -L0008496 000000 000000 000000 000000* -L0008520 000000 000000 000000 000000* -L0008544 001000 000000 000000 000000* -L0008568 000000 000000 000000 000000* -L0008592 000000 000000 000000 000000* -L0008616 000000 000000 000000 000000* -L0008640 00000000 00000000 00000011 00000000* -L0008672 00000000 00000000 00000000 00000011* -L0008704 00000000 00000000 00000000 00000000* -L0008736 00000000 00000000 00000000 01000000* -L0008768 00000000 00000000 00000000 01000000* -L0008800 00000000 00000000 00000001 00000010* -L0008832 00000000 00000000 00000000 00000000* -L0008864 00000001 00000000 00000000 00100000* -L0008896 00000000 00000000 00000000 00000011* -L0008928 000000 000000 000000 000000* -L0008952 000000 000000 000000 000000* -L0008976 000000 000000 000000 000000* -L0009000 000000 000000 000000 000000* -L0009024 000000 000000 000000 100000* -L0009048 000000 000000 000000 100000* -L0009072 00000010 00000000 00000001 00000010* -L0009104 00000000 00000000 00000011 00000000* -L0009136 00000000 00000000 10000001 00000011* -L0009168 00000000 00000000 00000001 00000011* -L0009200 00000000 00000000 10000001 00000001* -L0009232 00000001 00000000 00000100 00000001* -L0009264 00000001 00000000 11001001 00000001* -L0009296 00000000 00000000 10001101 00000011* -L0009328 00000001 00000000 00000001 00000000* -L0009360 000001 000000 000000 000000* -L0009384 000000 000000 010000 000000* -L0009408 000000 000000 010000 000000* -L0009432 000001 000000 000000 000000* -L0009456 000000 000000 000010 000000* -L0009480 000000 000000 000010 000000* -L0009504 00000000 00000000 00000001 00000000* -L0009536 00000000 00000000 00000000 00000010* -L0009568 00000001 00000000 00000001 00000010* -L0009600 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000* -L0009664 00000000 00000000 00000000 00000000* -L0009696 00000000 00000000 00000000 00000000* -L0009728 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000001* -L0009792 000000 000000 000000 000000* -L0009816 000000 000000 000000 000000* -L0009840 000000 000000 000000 000000* -L0009864 000000 000000 000000 000000* -L0009888 000000 000000 000000 000000* -L0009912 000000 000000 000000 000000* -L0009936 00000000 00000000 00000000 00000000* -L0009968 00000000 00000000 00000001 00000000* -L0010000 00000000 00000000 00000001 00000000* -L0010032 00000000 00000000 00000001 00000001* -L0010064 00000000 00000000 00000001 00000001* -L0010096 00000000 00000000 00000001 00000011* -L0010128 00000001 00000000 00000000 00000001* -L0010160 00000001 00000000 00000000 00000001* -L0010192 00000000 00000000 00000000 00000011* -L0010224 000000 000000 000000 000000* -L0010248 000000 000000 000000 000000* -L0010272 000000 000000 000000 000000* -L0010296 000000 000000 000000 000000* -L0010320 000000 000000 000000 000000* -L0010344 000000 000000 000000 000000* -L0010368 00001010 00000000 00000010 00000000* -L0010400 00000000 00000000 00000010 00000010* -L0010432 00001000 00000000 00000000 00000010* -L0010464 00000000 00000000 00000000 00000000* -L0010496 00000000 00000000 00000000 00000000* -L0010528 00000000 00000000 00000001 00000000* -L0010560 00000000 00000000 00000001 00000000* -L0010592 00000001 00000000 00000001 00000000* -L0010624 00000001 00000000 00000000 00000001* -L0010656 000000 000000 000000 000000* -L0010680 000000 000000 000000 000000* -L0010704 000000 000000 000000 000000* -L0010728 000000 000000 000000 000000* -L0010752 000000 000000 000000 000000* -L0010776 000000 000000 000000 000000* -L0010800 00010010 00000000 00000000 00000010* -L0010832 00011010 00000000 00000010 00000000* -L0010864 00000000 00000000 00010010 00000010* -L0010896 00001000 01111100 00000000 00000010* -L0010928 00000000 00000000 00000000 00000000* -L0010960 00000000 00000000 00000000 00000000* -L0010992 00000000 00000000 00000001 00000000* -L0011024 00000000 00000000 00000001 00000000* -L0011056 00000001 01111100 00000001 00000000* -L0011088 000000 000000 000000 000000* -L0011112 000000 000000 100000 000000* -L0011136 000000 000000 000000 000000* -L0011160 000000 000000 000000 000000* -L0011184 000000 011111 000000 000000* -L0011208 000000 000000 000000 000000* -L0011232 00000011 00000001 00000011 10000000* -L0011264 00100011 00000011 00000011 00000011* -L0011296 00000011 00000011 00000011 00000010* -L0011328 00000011 00000011 00000011 00000001* -L0011360 00000011 00000011 00000011 00000011* -L0011392 00000011 00000011 00000011 00000011* -L0011424 00000011 00000011 00000011 00001011* -L0011456 00000011 00000011 00000011 00001011* -L0011488 00000011 00000011 00000011 00010011* -L0011520 000000 000000 000000 000100* -L0011544 000000 000000 000000 000000* -L0011568 000000 000000 000000 000000* -L0011592 000000 000000 000000 000000* -L0011616 000000 000000 000000 000000* -L0011640 000000 000000 000000 000000* -L0011664 00000000 00000000 00000000 00000000* -L0011696 00000000 00000000 00000000 00100000* -L0011728 00000000 00000000 00000000 00101000* -L0011760 00000000 00000000 00000000 00001000* -L0011792 00000000 00000000 00000000 00000000* -L0011824 10000000 00000000 00001000 00000000* -L0011856 00000000 00000000 00000000 00000000* -L0011888 00000000 00000000 00000000 00000000* -L0011920 00000000 00000000 00000000 00000000* -L0011952 000000 000000 000000 000001* -L0011976 000000 000010 000000 000000* -L0012000 000000 000000 000000 000000* -L0012024 000000 000000 000000 011100* -L0012048 000000 000000 000000 011100* -L0012072 000000 000000 000000 011100* -L0012096 00000000 00000000 00000000 00000000* -L0012128 00000000 00000000 00000000 00000000* -L0012160 00000000 00000000 00000000 00000000* -L0012192 00000000 00000000 00000000 00000000* -L0012224 00000000 00000000 00000000 00000000* -L0012256 00000000 00000000 00000000 00000000* -L0012288 00000000 00000000 00000000 00000000* -L0012320 00000000 00000000 00000000 00000000* -L0012352 00000000 00000000 00000000 00000000* -L0012384 000000 000000 000000 000000* -L0012408 000000 000000 000000 000000* -L0012432 000000 000000 000000 000000* -L0012456 000000 000000 000000 000000* -L0012480 000000 000000 000000 000000* -L0012504 000000 000000 000000 000000* -L0012528 00000000 00000000 00000000 00000000* -L0012560 00000000 00000000 00000000 00000000* -L0012592 00000000 00000000 00100000 00000000* -L0012624 00000000 00000000 00000000 00000000* -L0012656 00000000 00000000 00000000 00000000* -L0012688 00000000 00000000 00000000 00000000* -L0012720 00000000 00000000 00000000 00000000* -L0012752 00000000 00000000 00100000 00000000* -L0012784 00000000 00000000 00000000 00000000* -L0012816 000000 000000 000000 000000* -L0012840 000000 000000 000000 000010* -L0012864 000010 000000 000000 000000* -L0012888 000000 000000 000000 000000* -L0012912 000000 000000 000000 000000* -L0012936 000000 000000 000000 000000* -L0012960 00000100 00000000 00000000 00000000* -L0012992 00000000 00000010 00000011 00000111* -L0013024 00000100 00000000 00000000 00000100* -L0013056 00000100 00000000 00000000 00000000* -L0013088 00000001 00000000 00000001 00000010* -L0013120 00000001 00000000 00000001 00000001* -L0013152 00000000 00000000 00000000 00000000* -L0013184 00010001 00000000 00000001 00000001* -L0013216 00010000 00000000 00000010 00010100* -L0013248 000000 000000 000000 000100* -L0013272 000000 000000 000000 000000* -L0013296 000000 000000 000000 000000* -L0013320 000000 000000 000000 000000* -L0013344 000000 000000 000000 000000* -L0013368 000010 000000 000000 000000* -L0013392 00000000 00000000 00000000 00000100* -L0013424 00000100 00000000 00000000 00100000* -L0013456 00000000 00000000 00000000 00100000* -L0013488 00000000 00000000 00000000 00000000* -L0013520 00000000 00000000 00000000 00001000* -L0013552 00000000 00000000 00000000 00001000* -L0013584 00000000 00000000 00000000 00000000* -L0013616 00000000 00000000 00000000 00000100* -L0013648 00000000 00000000 00000000 00001000* -L0013680 000000 000000 000000 000000* -L0013704 000000 000000 000000 000000* -L0013728 000000 000000 000000 000000* -L0013752 000010 000000 000000 011100* -L0013776 000010 000000 000000 011100* -L0013800 000000 000000 000000 011110* -L0013824 00100000 00000000 00000000 00000000* -L0013856 00000000 00000000 00000000 00000000* -L0013888 00000000 00000000 00000000 00000000* -L0013920 00000000 00000000 00000000 00000000* -L0013952 00000000 00000000 00000000 00000000* -L0013984 00000000 00000000 00000000 00000000* -L0014016 00000000 00000000 00000000 00000000* -L0014048 00000000 00000000 00000000 00000000* -L0014080 00000000 00000000 00000000 00000000* -L0014112 000000 000000 000000 000000* -L0014136 000000 000000 000000 000000* -L0014160 000000 000000 000100 000000* -L0014184 000000 000000 000000 000000* -L0014208 000000 000000 000100 000000* -L0014232 000000 000000 000000 000000* -L0014256 00000000 01000000 00000000 00000000* -L0014288 00100000 00000000 00000000 00000000* -L0014320 00000000 00000000 00000000 00000000* -L0014352 00000000 00000000 00000000 00000000* -L0014384 00000000 00000000 00000000 00000000* -L0014416 00000000 00000000 00000000 00000100* -L0014448 00000000 00000000 00000000 00000000* -L0014480 00000000 00000000 00000000 00000000* -L0014512 00000000 00000000 00010000 00000000* -L0014544 000000 000000 000000 000000* -L0014568 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000* -L0014616 000000 000000 000000 000000* -L0014640 000000 000000 000000 000000* -L0014664 000000 000000 000100 000000* -L0014688 00000011 00000000 00000011 00000000* -L0014720 00000011 00000010 00000011 01000011* -L0014752 00000011 00000010 00000011 01000010* -L0014784 00000011 00000000 00000011 00000001* -L0014816 00000011 00000000 00000011 00000011* -L0014848 00000011 00000000 00000011 00000011* -L0014880 00000011 00000000 00000011 00000011* -L0014912 00000011 00000000 00000011 00000011* -L0014944 00000011 00000000 00000011 00000011* -L0014976 000000 000000 000000 000000* -L0015000 000000 000000 000000 000000* -L0015024 000000 000000 000000 000000* -L0015048 000000 000000 000000 000000* -L0015072 000000 000000 000000 000000* -L0015096 000000 000000 000000 000000* -L0015120 00000000 00000000 00000000 01000000* -L0015152 00000000 00000000 00000000 00000000* -L0015184 00000000 00000000 00000000 00000000* -L0015216 00000100 00000000 00000000 00000000* -L0015248 00000000 00000000 00000000 00000000* -L0015280 00000000 00000000 00000000 00000000* -L0015312 00000000 00000000 00000000 00000000* -L0015344 00010000 00000000 00000000 00000000* -L0015376 00010000 00000000 00000000 00000000* -L0015408 000000 000000 000000 000000* -L0015432 000000 000000 000000 000000* -L0015456 000000 000000 100000 100000* -L0015480 000010 000000 000000 000000* -L0015504 000010 000000 000000 000000* -L0015528 000010 000000 000000 000000* -L0015552 00000000 00000000 00000000 00000000* -L0015584 00000000 00000000 00010000 00000000* -L0015616 00000000 00000000 00000000 00000000* -L0015648 00000000 00000000 00000000 00000000* -L0015680 00000000 00000000 00000000 00000000* -L0015712 00000000 00000000 00000000 00000000* -L0015744 00000000 00000000 00000000 00000000* -L0015776 00000000 00000000 00000000 00000000* -L0015808 00000000 00000000 00000000 10000000* -L0015840 000000 000000 000000 100000* -L0015864 000000 000000 000000 000000* -L0015888 000000 000000 000000 000000* -L0015912 000000 000000 000000 000000* -L0015936 000000 000000 000000 000000* -L0015960 000000 000000 000000 000000* -L0015984 00000000 00000001 00010010 00000000* -L0016016 00000000 00000001 00000000 00000000* -L0016048 00000000 00000001 00000010 00000000* -L0016080 00000000 00000011 00000010 00000000* -L0016112 00000000 00000011 00000000 00000000* -L0016144 00000000 00000011 00000000 00000000* -L0016176 00000000 00000011 00000001 00000000* -L0016208 00000010 00000011 00000000 00000000* -L0016240 00000000 00000011 00000001 00000000* -L0016272 000000 000000 000000 000000* -L0016296 000000 000000 000000 000000* -L0016320 000000 000000 000000 000000* -L0016344 000000 000000 000000 000000* -L0016368 000000 000000 000000 000000* -L0016392 000000 000000 000000 000000* -L0016416 00000000 00000000 00000000 00000000* -L0016448 00000000 00000000 00000000 00000000* -L0016480 00000000 00000000 00000000 00000000* -L0016512 00000000 00000000 00000000 00000000* -L0016544 00000000 00000000 00000000 00000000* -L0016576 00000000 00000000 00000000 00000000* -L0016608 00000000 00000000 00000000 10000000* -L0016640 00000000 00000000 00000000 10000000* -L0016672 00000000 00000000 00000000 00000000* -L0016704 000000 000000 000000 000000* -L0016728 000000 000000 000000 100000* -L0016752 000000 000000 000000 000000* -L0016776 000000 000000 000000 100000* -L0016800 000000 000000 000000 000000* -L0016824 000000 000000 000000 000000* -L0016848 00000001 00000000 00000000 00000000* -L0016880 00000001 00000000 00000000 00000001* -L0016912 00000001 00000000 00000000 00000000* -L0016944 00000000 00000000 00000000 00000001* -L0016976 00000000 00000000 00000000 00000001* -L0017008 00000000 00000000 00000000 00000010* -L0017040 00000001 00000000 00000000 00000011* -L0017072 00000000 00000000 00000000 00000010* -L0017104 00000001 00000000 00000000 10000010* -L0017136 000000 000000 000000 000000* -L0017160 000000 000000 000000 000000* -L0017184 000000 000000 000000 000000* -L0017208 000000 000000 000000 000000* -L0017232 000000 000000 000000 100000* -L0017256 000000 000000 000000 000000* -L0017280 00000000 00000000 00000000 00000000* -L0017312 00000000 00000000 00000000 00000000* -L0017344 00000000 00000000 00000000 00000000* -L0017376 00000000 00000000 00000000 00000000* -L0017408 00000000 00000000 00000000 00000000* -L0017440 00000000 00000000 00000000 00000000* -L0017472 00010000 00000000 00000000 00000000* -L0017504 00000000 00000000 00000000 00000000* -L0017536 00000000 00000000 00000000 00000000* -L0017568 000000 000000 000000 000000* -L0017592 000000 000000 000000 000000* -L0017616 000000 000000 000000 000000* -L0017640 000000 000000 000000 000000* -L0017664 000000 000000 000000 000000* -L0017688 000000 000000 000000 000000* -L0017712 00000000 00000000 00000000 00000000* -L0017744 00000000 00000000 00000000 00000000* -L0017776 00000000 00000000 00000000 00000000* -L0017808 00000100 00000000 00000000 00000000* -L0017840 00000000 00000000 00000000 00000000* -L0017872 00010000 00000000 00000000 00000000* -L0017904 00000000 00000000 00000000 00000000* -L0017936 00010000 00000000 00000000 00000000* -L0017968 00010000 00000000 00000000 00000000* -L0018000 000000 000000 000000 000000* -L0018024 000000 000000 000010 000000* -L0018048 000000 000000 000000 000000* -L0018072 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000* -L0018120 000010 000000 000000 000000* -L0018144 00000000 00000000 00000000 00000000* -L0018176 00000000 00000000 00000000 00000100* -L0018208 10000000 00000000 00000000 00000100* -L0018240 10000000 00000000 00000000 00000000* -L0018272 00000000 00000010 00000000 00000000* -L0018304 00000000 00000010 00000000 00000000* -L0018336 00000000 00000000 00000000 00000000* -L0018368 00000000 00000000 00000000 00000000* -L0018400 00000000 00000000 00000000 00000100* -L0018432 000000 000000 000000 000000* -L0018456 000101 000000 000000 000000* -L0018480 000100 000000 000000 000000* -L0018504 000000 000000 000000 000000* -L0018528 000000 000000 000000 000000* -L0018552 000000 000000 000000 000000* -L0018576 10000000 00000000 00000000 00000100* -L0018608 00000000 00000000 00000000 00000000* -L0018640 00000000 00000000 00000000 00000000* -L0018672 00000000 00000000 00000000 00000000* -L0018704 10000000 00000000 00000000 00000000* -L0018736 01000000 00000000 00000000 00000000* -L0018768 00000000 00000000 00000000 00000000* -L0018800 00000000 00000000 00000000 00000100* -L0018832 00000000 00000000 00000000 00000000* -L0018864 000000 000000 000000 000000* -L0018888 000000 000100 000000 000000* -L0018912 000001 000000 000000 000000* -L0018936 000100 000000 000000 000000* -L0018960 000100 000000 000000 000000* -L0018984 000000 000000 000000 000010* -L0019008 00000000 00000000 00010000 00000000* -L0019040 00000000 00000000 00000000 00000010* -L0019072 00000000 00000000 00000000 00000000* -L0019104 00000000 00000000 00000000 00000000* -L0019136 00000000 00000000 00000000 00000000* -L0019168 00000000 00000000 00000000 00000000* -L0019200 00000000 00000000 00000000 00000000* -L0019232 00000000 00000000 00000000 00010000* -L0019264 00000000 00000000 00000000 00000000* -L0019296 000000 000000 000000 000000* -L0019320 000000 000000 000000 000000* -L0019344 000000 000000 000000 000000* -L0019368 000000 000000 000000 000000* -L0019392 000000 000000 000000 000000* -L0019416 000000 000000 000000 000000* -L0019440 00000011 00000001 00000011 00000010* -L0019472 00000011 00000011 00000011 00000011* -L0019504 00000011 00000011 00000011 00000011* -L0019536 00000011 00000011 00000011 00000011* -L0019568 00000011 00000011 00000011 00000011* -L0019600 00000011 00100011 00000011 00000011* -L0019632 00000011 00000011 00000011 00010011* -L0019664 00000011 00000011 01000011 00000011* -L0019696 00000011 00000011 10001111 00000011* -L0019728 000000 000000 100011 000000* -L0019752 000000 000000 000000 000000* -L0019776 000000 000000 000000 000000* -L0019800 000000 000000 010000 000000* -L0019824 000001 000000 000000 000000* -L0019848 000001 000000 000000 000000* -L0019872 00000011 00000000 00000011 00000010* -L0019904 00000011 00000010 00000011 00000011* -L0019936 00000001 00000000 00000011 00000011* -L0019968 00000001 00000000 00000001 00000011* -L0020000 00000001 00000000 00000011 00000011* -L0020032 00000001 00000000 00000011 00000011* -L0020064 00000011 00000000 00000011 00000011* -L0020096 00000001 00000000 00000011 00000011* -L0020128 00000011 00000000 00000011 00000011* -L0020160 000000 000000 000000 000000* -L0020184 000000 000000 000000 000000* -L0020208 000000 000000 000000 000000* -L0020232 000000 000000 000000 000000* -L0020256 000000 000000 000000 000000* -L0020280 000000 000000 000000 000000* -L0020304 00000010 00000000 00000011 00000010* -L0020336 00000001 00000000 00000011 00000011* -L0020368 00000001 00000000 00000001 00000011* -L0020400 00000001 00000000 00000001 00000011* -L0020432 00000000 00000000 00000011 00000011* -L0020464 00000001 00000000 00000011 00000011* -L0020496 00000001 00000000 00000011 00000011* -L0020528 00000001 00000000 00000011 00000011* -L0020560 00000001 00000000 00000001 00000011* -L0020592 000000 000000 000000 000000* -L0020616 000010 000000 000000 000000* -L0020640 000000 000000 000000 000000* -L0020664 000000 000000 000000 000000* -L0020688 000000 000000 000000 000000* -L0020712 000000 000000 000000 000000* -L0020736 00000010 00000001 00000010 00000010* -L0020768 00000001 00000001 00000011 00000011* -L0020800 00000001 00000001 00000011 00000011* -L0020832 00000001 00000011 00000011 00000011* -L0020864 00000000 00000011 00000001 10000001* -L0020896 00000001 00000011 00000001 00000011* -L0020928 00000001 00000011 00000001 00000001* -L0020960 00000011 00000011 00000001 00000011* -L0020992 00000001 00000011 00000001 00000011* -L0021024 000000 000000 000000 000000* -L0021048 000000 000000 000000 010000* -L0021072 000000 000000 000000 010000* -L0021096 000000 000000 000000 000000* -L0021120 000000 000000 000000 000000* -L0021144 000000 000000 000000 000000* -L0021168 00000010 00000000 00000111 00000010* -L0021200 00000000 00000000 00000111 00000011* -L0021232 00000000 00000000 00000101 00000011* -L0021264 00000000 00000000 00000001 10000011* -L0021296 00000000 00000000 00000001 00000001* -L0021328 00000001 00000000 00000101 00000011* -L0021360 00000101 00000000 00000001 01000001* -L0021392 00000101 00000000 00000001 01000011* -L0021424 00000101 00000000 00000101 00000011* -L0021456 000001 000000 000000 000000* -L0021480 100000 000000 000000 000000* -L0021504 000000 000000 000000 000000* -L0021528 000000 000000 000000 000000* -L0021552 000001 000000 000000 000000* -L0021576 000000 000000 000000 000000* -L0021600 00000000 00000000 00000000 01000010* -L0021632 00000100 00000000 00000000 00000000* -L0021664 00000100 00000000 00000000 01000000* -L0021696 00000000 00000000 00000000 00000000* -L0021728 00000000 00000000 00000000 01000010* -L0021760 00010000 00000000 00000000 00000000* -L0021792 00000000 00000000 00000000 00000000* -L0021824 00010000 00000000 00000000 00100000* -L0021856 00000000 00000000 00000000 00000000* -L0021888 000000 000000 000000 000000* -L0021912 000000 000000 000000 100000* -L0021936 000000 000000 000000 000000* -L0021960 000000 000000 000000 000000* -L0021984 000010 000000 000000 000000* -L0022008 000000 000000 000000 000000* -L0022032 00000100 00000000 00000000 00000001* -L0022064 00000000 00000000 10000000 01000001* -L0022096 00000000 00000000 00000000 00000000* -L0022128 00000000 00000000 00000000 01000000* -L0022160 00000010 00000010 00000010 00000011* -L0022192 00000000 00000000 00000000 01000000* -L0022224 00010000 00000000 10001000 00100000* -L0022256 00000000 00000000 10001000 00000000* -L0022288 00000000 00000000 00000000 00000000* -L0022320 000000 000000 100010 000000* -L0022344 000000 000000 000000 000000* -L0022368 000000 000000 000000 100000* -L0022392 000010 000000 000010 000000* -L0022416 000000 000000 000000 000000* -L0022440 000010 000000 000000 000000* -L0022464 00000010 00000010 00000000 00000010* -L0022496 00100010 00000000 00000010 00000010* -L0022528 00000000 00000000 00000000 00000000* -L0022560 00000000 00000000 00000000 00000000* -L0022592 00000010 00000010 00000000 00000010* -L0022624 00000000 00000000 00000000 10000000* -L0022656 00000000 00000000 00000000 00000000* -L0022688 00000000 00000000 00000000 00000000* -L0022720 00000000 00000000 00000000 00000000* -L0022752 000000 000000 000000 000000* -L0022776 000000 000000 000000 000000* -L0022800 000000 000000 000000 000000* -L0022824 000000 000000 000000 100000* -L0022848 000000 000000 000000 000000* -L0022872 000000 000000 000000 000000* -L0022896 00100000 00001000 00000000 00000011* -L0022928 00000000 00000010 00000010 00000001* -L0022960 00000000 00000000 00000000 00000000* -L0022992 00000000 00000000 00000000 00000000* -L0023024 00000001 00000010 00001010 00000011* -L0023056 10000000 00000000 00000000 00000000* -L0023088 10000000 00000000 00000000 00000000* -L0023120 00000000 00000000 00000000 00000000* -L0023152 00000000 00000000 00000000 00000000* -L0023184 000000 000000 000000 000000* -L0023208 000000 000000 000001 000000* -L0023232 000000 000000 000000 000000* -L0023256 000000 000000 000000 000000* -L0023280 000000 000000 000000 000000* -L0023304 000000 000000 000000 000000* -L0023328 00000000 00000000 00000001 00000000* -L0023360 00000010 00000010 00000010 00000000* -L0023392 00000000 00000000 00000000 00000000* -L0023424 00000000 00000000 00000000 00000000* -L0023456 00000010 00000010 00000011 00000010* -L0023488 00000000 00000000 00000000 00000000* -L0023520 00000000 00000000 00000000 00000000* -L0023552 00000000 00000000 00000000 00000000* -L0023584 00000000 00000000 00000000 00000000* -L0023616 000000 000000 000000 000000* -L0023640 000000 000000 000000 000000* -L0023664 000000 000000 000000 000000* -L0023688 000000 000000 000000 000000* -L0023712 000000 000000 000000 000000* -L0023736 000000 000000 000000 000000* -L0023760 00000000 00000000 00000000 00000000* -L0023792 00000010 00000010 00000010 00000000* -L0023824 00000000 00000000 00000000 00000000* -L0023856 00000000 00000000 00000000 00000000* -L0023888 00000011 00000010 00000010 00000010* -L0023920 00000000 00000000 00000000 00000000* -L0023952 00000000 00000000 00000000 00000000* -L0023984 00000000 00000000 00000000 00000000* -L0024016 00000000 00000000 00000000 00000000* -L0024048 000000 000000 000000 000000* -L0024072 000000 000000 000000 000000* -L0024096 000000 000000 000000 000000* -L0024120 000000 000000 000000 000000* -L0024144 000000 000000 000000 000000* -L0024168 000000 000000 000000 000000* -L0024192 00000000 00000000 00000000 00001001* -L0024224 00000000 00000000 00000000 00001001* -L0024256 00000000 00000000 00000000 10000000* -L0024288 00000000 00000000 00000000 00000000* -L0024320 00000010 00000000 00000011 00000001* -L0024352 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000000 00000000* -L0024416 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00010000* -L0024480 000000 000000 000000 000000* -L0024504 000000 000000 000000 000000* -L0024528 000000 000000 000000 000000* -L0024552 000000 000000 000000 000000* -L0024576 000000 000000 000000 000000* -L0024600 000000 000000 000000 000000* -L0024624 00000000 00000000 00000010 00000010* -L0024656 00000000 00000010 00000010 10100010* -L0024688 00000000 00000000 00000000 00100000* -L0024720 00000000 00000000 00000000 00000000* -L0024752 00000000 00000010 00000011 00000010* -L0024784 00000000 00000000 00000000 00000000* -L0024816 00000000 00000000 00000000 00000000* -L0024848 00000000 00000000 00000000 00000000* -L0024880 00000000 00000000 00000000 00000000* -L0024912 000000 000000 000000 000100* -L0024936 000000 000000 000000 000100* -L0024960 000000 000000 000000 000100* -L0024984 000000 000000 000000 011000* -L0025008 000000 000000 000000 011000* -L0025032 000000 000000 000000 011000* -L0025056 00000000 00000000 00000010 00000000* -L0025088 00000001 00000011 00000000 00000011* -L0025120 00000000 00000000 00000000 00000000* -L0025152 00000000 00000000 00000000 00000000* -L0025184 00000011 00000011 00000010 00000011* -L0025216 00000000 00000000 00000000 00000000* -L0025248 00000000 00000000 00000000 00000000* -L0025280 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 00000000 00000000* -L0025344 000000 000000 000000 000000* -L0025368 000000 000000 000000 000000* -L0025392 000000 000000 000000 000000* -L0025416 000000 000000 000000 000000* -L0025440 000000 000000 000000 000000* -L0025464 000000 000000 000000 000000* -L0025488 00000010 00000000 00000000 00000010* -L0025520 00000000 00000010 00000000 00000000* -L0025552 00000000 00000000 00000000 00000000* -L0025584 00000000 00000000 00000000 00000000* -L0025616 00000010 00000010 00000000 00000011* -L0025648 00000000 00000000 00000000 00000000* -L0025680 00000000 00000000 00000000 00000000* -L0025712 00000000 00000000 00000000 00000000* -L0025744 00000000 00000000 00000000 00000000* -L0025776 000000 000000 000000 000000* -L0025800 000000 000000 000000 000000* -L0025824 000000 000000 000000 000000* -L0025848 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000* -L0025896 000000 000000 000000 000000* -L0025920 00000010 00000000 00000010 00000000* -L0025952 00000000 00000000 00000000 00000000* -L0025984 00000000 00000000 00000000 00000000* -L0026016 00000000 00000000 00000000 00000000* -L0026048 00000001 00000000 00000001 10000010* -L0026080 00000000 00000000 00000000 00000000* -L0026112 00000000 00000000 00000000 00000000* -L0026144 00000000 00000000 00000000 00000000* -L0026176 00000000 00000000 00000000 00000000* -L0026208 000000 000000 000000 000000* -L0026232 000000 000000 000000 010000* -L0026256 000000 000000 000000 010000* -L0026280 000000 000000 000000 000000* -L0026304 000000 000000 000000 000000* -L0026328 000000 000000 000000 000000* -L0026352 00000000 00000000 00000001 00000000* -L0026384 00000000 00000000 00000000 00100000* -L0026416 00000000 00000000 00000000 00100000* -L0026448 00000000 00000000 00000000 10000000* -L0026480 00000000 00000000 00000000 00000000* -L0026512 00000000 00000000 00000000 00000000* -L0026544 00001000 00000000 00000000 01000000* -L0026576 00000000 00000000 00000000 01000000* -L0026608 00000000 00000000 00000000 00000000* -L0026640 000000 000000 000000 000000* -L0026664 000000 000000 000000 000000* -L0026688 000000 000000 000000 000000* -L0026712 000000 000000 000000 001000* -L0026736 000000 000000 000000 001000* -L0026760 000000 000000 000000 001000* -L0026784 00000001 00000001 00000011 00000001* -L0026816 00000001 00000001 00000001 00000001* -L0026848 00000000 00000010 00000000 00000000* -L0026880 00000000 00000000 00000000 00000000* -L0026912 00000011 00000001 00000011 00000001* -L0026944 00000000 00000000 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000* -L0027008 00000000 00000000 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000* -L0027072 000000 000000 000000 000000* -L0027096 000000 000000 000000 000000* -L0027120 000000 000000 000000 000000* -L0027144 000000 000000 000000 000000* -L0027168 000000 000000 000000 000000* -L0027192 000000 000000 000000 000000* -L0027216 00000010 00000000 01000010 00000010* -L0027248 00000010 00000010 00000000 00000010* -L0027280 00000000 00000000 00000000 00000000* -L0027312 00000000 00000000 00000000 00000000* -L0027344 00000010 00000010 00000010 00000011* -L0027376 00000000 00000000 00000000 00000000* -L0027408 00000000 00000000 00000000 00000000* -L0027440 00000000 00000000 00000000 00000000* -L0027472 00000000 00000000 00000000 00000000* -L0027504 000000 000000 000000 000000* -L0027528 000000 000000 000000 000000* -L0027552 000000 000000 000000 000000* -L0027576 000000 000000 000001 000000* -L0027600 000000 000000 000000 000000* -L0027624 000000 000000 000000 000000* -L0027648 00000000 00000000 00000001 00000010* -L0027680 00000001 00000001 00000010 00000011* -L0027712 00000000 00000000 00000000 00000000* -L0027744 00000000 00000000 00000000 00000000* -L0027776 00000011 00000001 00000001 00000011* -L0027808 00000000 00000000 00000000 00000000* -L0027840 00000000 00000000 00000000 00000000* -L0027872 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000000* -L0027936 000000 000000 000000 000000* -L0027960 000000 000000 000000 000000* -L0027984 000000 000000 000000 000000* -L0028008 000000 000000 000000 000000* -L0028032 000000 000000 000000 000000* -L0028056 000000 000000 000000 000000* -L0028080 00000000 00000000 00000000 00000011* -L0028112 00000001 00000000 00000001 00000011* -L0028144 00000000 00000000 00000000 00000000* -L0028176 00000000 00000000 00000000 00000000* -L0028208 00000010 00000000 00000000 00000011* -L0028240 00000100 00000000 00000000 00000000* -L0028272 00000000 00000000 00000000 00000000* -L0028304 00000000 00000000 00000000 00000000* -L0028336 00000000 00000000 00000000 00000000* -L0028368 000000 000000 000000 000000* -L0028392 000000 000000 000000 000000* -L0028416 000000 000000 000000 000000* -L0028440 000000 000000 000000 000000* -L0028464 000000 000000 000000 000000* -L0028488 000000 000000 000000 000000* -L0028512 00000000 00000000 00000001 00000010* -L0028544 00000010 00000010 00000010 00000010* -L0028576 00000000 00000000 00000000 00000000* -L0028608 00000000 00000000 00000000 00000000* -L0028640 00000011 00000010 00000000 00000011* -L0028672 00000000 00000000 00000000 00000000* -L0028704 00000000 00000000 00000000 00000000* -L0028736 00000000 00000000 00000000 00000000* -L0028768 00000000 00000000 00000000 00000000* -L0028800 000000 000000 000000 000000* -L0028824 000000 000000 000000 001000* -L0028848 000000 000000 000000 001000* -L0028872 000000 000000 000000 000000* -L0028896 000000 000000 000000 000000* -L0028920 000000 000000 000000 000001* -L0028944 00000010 00000000 00000011 00000010* -L0028976 00000010 00000001 00000000 00100011* -L0029008 00000000 00000000 00000000 00100000* -L0029040 00000000 00000000 10000100 00000000* -L0029072 00000010 00000001 00000011 00000011* -L0029104 00000000 00000000 00000000 00000000* -L0029136 00000000 00000000 00000000 00000000* -L0029168 00000000 00000000 00000000 00000000* -L0029200 00000000 00000000 00000000 00100000* -L0029232 000000 000000 000000 001000* -L0029256 000000 000000 000000 000000* -L0029280 000000 000000 000000 000000* -L0029304 000000 000000 000000 000000* -L0029328 000000 000000 000000 000001* -L0029352 000000 000000 000000 000000* -L0029376 00000000 00000000 00000010 00000010* -L0029408 00000000 00000000 00000011 00000011* -L0029440 00000000 00000000 00000000 00000000* -L0029472 00000000 00000000 00000000 00000000* -L0029504 00000001 00000000 00000000 00000011* -L0029536 00000000 00000000 00000000 00000000* -L0029568 00000000 00000000 00000000 00000000* -L0029600 00000000 00000000 00000000 00000000* -L0029632 00000000 00000000 00000000 00000000* -L0029664 000000 000000 000000 000000* -L0029688 000000 000000 000000 000000* -L0029712 000000 000000 000000 000000* -L0029736 000000 000000 000000 000000* -L0029760 000000 000000 000000 000000* -L0029784 000000 000000 000000 000000* -L0029808 00000000 00000001 00000001 00000000* -L0029840 00000000 00000000 00000000 00000010* -L0029872 00000000 00000000 00000000 00000000* -L0029904 00000000 00000000 00000000 00000000* -L0029936 00000000 00000001 00000001 00000010* -L0029968 00000000 00000000 00000000 00000000* -L0030000 00000000 00000000 00000000 00000000* -L0030032 00000000 00000000 00000000 00000000* -L0030064 00000000 00000000 00000000 00000000* -L0030096 000000 000000 000000 000000* -L0030120 000000 000000 001000 000000* -L0030144 000000 000000 000000 000000* -L0030168 000000 000000 000000 000000* -L0030192 000000 000000 000000 000000* -L0030216 000000 000000 000000 000000* -L0030240 00000000 00000000 00000011 00001100* -L0030272 10000000 00000000 00000000 00000101* -L0030304 00000000 00000000 00000000 00001000* -L0030336 10000000 00000000 00000000 00000000* -L0030368 00000011 00000000 00000010 00000001* -L0030400 00000000 00000000 00000000 00000000* -L0030432 00000000 00000000 00000000 01001000* -L0030464 00000000 00000000 00000000 00000000* -L0030496 00000000 00000000 00000000 00101000* -L0030528 000000 000000 000000 000000* -L0030552 000101 000000 000000 011100* -L0030576 000100 000000 000000 000000* -L0030600 000000 000000 000000 000010* -L0030624 000000 000000 000000 011100* -L0030648 000000 000000 000000 000000* -L0030672 00000000 00000100 00000000 00000000* -L0030704 00000000 00000010 00000000 00001010* -L0030736 10000000 00000000 00000000 00000100* -L0030768 00000000 00000000 00000000 00001000* -L0030800 10000010 00000010 00000000 00001010* -L0030832 00000000 00000000 00000000 00000000* -L0030864 00000000 00000000 00000000 00000000* -L0030896 00000000 00000000 00000000 01001000* -L0030928 00000000 00000000 00000000 00000000* -L0030960 000000 000000 000000 001000* -L0030984 000000 000000 000000 000000* -L0031008 000001 000000 000000 011100* -L0031032 000100 000000 000000 000000* -L0031056 000100 000000 000000 000010* -L0031080 000000 000000 000000 011110* -L0031104 00000010 00000000 00000011 00000010* -L0031136 00000011 00000010 00000010 00000011* -L0031168 00000000 00000000 00000000 00000000* -L0031200 00000000 00000000 00000000 01000000* -L0031232 00000001 00000010 00000001 01000001* -L0031264 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 00000000 00000000* -L0031328 00000000 00000000 00000000 00100000* -L0031360 00000000 00000000 00000000 00000000* -L0031392 000000 000000 000000 000000* -L0031416 000000 000000 000000 000000* -L0031440 000000 000000 000000 000000* -L0031464 000000 000000 000000 000000* -L0031488 000000 000000 000000 100000* -L0031512 000000 000000 000000 100000* -L0031536 00000010 00000000 00000000 00000000* -L0031568 00000001 00000001 00000000 00000001* -L0031600 00000000 00000000 00000000 00000000* -L0031632 00000000 00000000 00000000 00000000* -L0031664 00000011 00000001 00000000 00000001* -L0031696 00000000 00000000 00000000 00000000* -L0031728 00000000 00000000 00000000 00000000* -L0031760 00000000 00000000 00000000 00000000* -L0031792 00000000 00000000 00000000 00000000* -L0031824 000000 000000 000000 000000* -L0031848 000000 000000 000000 000000* -L0031872 000000 000000 000000 000000* -L0031896 000000 000000 000000 000000* -L0031920 000000 000000 000000 000000* -L0031944 000000 000000 000000 000000* -L0031968 00000010 00000000 00000010 00000000* -L0032000 00000000 00000001 00000000 00000010* -L0032032 00000000 00000000 00000000 00000000* -L0032064 00000000 00000000 00000000 00000000* -L0032096 00000011 00000001 00000010 00000011* -L0032128 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000* -L0032192 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000* -L0032256 000000 000000 000000 000000* -L0032280 000000 000000 000000 000000* -L0032304 000000 000000 000000 000000* -L0032328 000000 000000 000000 000000* -L0032352 000000 000000 000000 000000* -L0032376 000000 000000 000000 000000* -L0032400 00000001 00000001 00000001 00000001* -L0032432 00000000 00000000 00000110 00000000* -L0032464 00000000 00000000 00000000 00000000* -L0032496 00000000 00000000 00000000 00000000* -L0032528 00000110 00000000 00000010 00000010* -L0032560 00000000 00000000 00000000 00000000* -L0032592 00000000 00000000 00000100 00000000* -L0032624 00000100 00000000 00000100 00000000* -L0032656 00000000 00000000 00000000 00000000* -L0032688 000000 000000 000001 000000* -L0032712 000000 000000 000000 000000* -L0032736 000000 000000 000000 000000* -L0032760 000001 000000 000000 000000* -L0032784 000000 000000 000000 000000* -L0032808 000001 000000 000000 000000* -L0032832 00000011 00000000 00000010 00000000* -L0032864 00000010 00000000 00010000 00000000* -L0032896 00000000 00000010 00000000 00000010* -L0032928 00000000 00000000 00000000 00000000* -L0032960 00000011 00000000 00000010 00000000* -L0032992 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000* -L0033056 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00000000 00000000* -L0033120 000000 000000 000000 000000* -L0033144 000000 000000 000000 000000* -L0033168 000000 000000 000000 000000* -L0033192 000000 000000 000000 000000* -L0033216 000000 000000 000000 000000* -L0033240 000000 000000 000000 000000* -L0033264 00000000 00000000 00000000 00000000* -L0033296 00000000 00000000 00000000 00000000* -L0033328 00000000 00000000 00000000 00000000* -L0033360 00000000 00000000 00000000 00000000* -L0033392 00000000 00000000 00000000 00000000* -L0033424 00000000 00000000 00000000 00000000* -L0033456 00000000 00000000 00000000 00000000* -L0033488 00000000 00000000 00000000 00000000* -L0033520 00000000 00000000 00000000 00000000* -L0033552 000000 000000 000000 000000* -L0033576 000000 000000 000000 000000* -L0033600 000000 000000 000000 000000* -L0033624 000000 000000 000000 000000* -L0033648 000000 000000 000000 000000* -L0033672 000000 000000 000000 000000* -L0033696 00000010 00000010 00000010 00000010* -L0033728 00000010 00000000 00000010 00100010* -L0033760 00000000 00000000 00000000 00100000* -L0033792 00000000 00000000 00000000 00000000* -L0033824 00000000 00000000 00000000 00000000* -L0033856 00000000 00000000 00000000 00010000* -L0033888 00000010 00000010 00000010 00000010* -L0033920 00000000 00000000 00000000 00000000* -L0033952 00000000 00000000 00000000 00000000* -L0033984 000000 000000 000000 000000* -L0034008 000000 000000 000000 000000* -L0034032 000000 000000 000000 000000* -L0034056 000000 000000 000000 000000* -L0034080 000000 000000 000000 000000* -L0034104 000000 000000 000000 000000* -L0034128 00000000 00000000 00000000 00100000* -L0034160 00000000 00000000 00000000 00000000* -L0034192 00000000 00000000 00010000 00000000* -L0034224 00000000 00000000 00000000 00000000* -L0034256 00000100 00000000 00001000 00000100* -L0034288 00001100 10000000 10011000 00000100* -L0034320 00001100 10000000 00001000 00000000* -L0034352 00000100 00000000 00001000 00000000* -L0034384 00000100 00000000 00001000 00000000* -L0034416 000001 000000 000010 000110* -L0034440 000010 000000 001010 000010* -L0034464 000010 000000 101010 000000* -L0034488 000001 000000 000011 000000* -L0034512 000001 000000 000010 000000* -L0034536 000001 000000 000011 000000* -L0034560 00000000 00000000 00000000 00000000* -L0034592 00000000 00000000 00000000 00101000* -L0034624 00000000 00000000 00000000 00000100* -L0034656 00000000 00000000 00000000 00001000* -L0034688 00000000 00000000 00000000 00000000* -L0034720 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000* -L0034784 00000000 00000000 00000000 01001000* -L0034816 00000000 00000000 00000000 00001000* -L0034848 000000 000000 000000 001000* -L0034872 000000 000000 000000 000000* -L0034896 000000 000000 000000 011100* -L0034920 000000 000000 000000 000010* -L0034944 000000 000000 000000 011100* -L0034968 000000 000000 000000 000010* -L0034992 00000000 00000000 00000000 00101100* -L0035024 00000000 00000000 00000000 00000100* -L0035056 00000000 00000000 00000000 00001000* -L0035088 00000000 00000000 00000000 00000000* -L0035120 00000000 00000000 00000000 00000000* -L0035152 00000000 00000000 00000000 00001000* -L0035184 00000000 00000000 00000000 11001000* -L0035216 00000000 00000000 00000000 00000000* -L0035248 00000000 00000000 00000000 00100000* -L0035280 000000 000000 000000 000000* -L0035304 000000 000000 000000 011100* -L0035328 000000 000000 000000 000010* -L0035352 000000 000000 000000 011100* -L0035376 000000 000000 000000 000000* -L0035400 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000* -L0035456 00000100 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000* -L0035520 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000* -L0035584 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 10000000* -L0035648 00000000 00000000 00000000 10000000* -L0035680 00000000 00000000 00000000 00000000* -L0035712 000000 000000 000000 000000* -L0035736 000000 000000 000000 000000* -L0035760 000000 000000 000000 000000* -L0035784 000000 000000 000000 100000* -L0035808 000000 000000 000000 000000* -L0035832 000000 000000 000000 000000* -L0035856 00000000 00000000 00000000 00000000* -L0035888 00000000 00000000 00000000 00000000* -L0035920 00000100 00000000 00000000 00000000* -L0035952 00000000 00000000 00000000 00000000* -L0035984 00000000 00000000 00000000 00000000* -L0036016 00000000 00001000 10000000 00000000* -L0036048 00000000 00000000 00000000 00000000* -L0036080 00000000 00000000 00000000 00000000* -L0036112 00000000 00000000 00000000 00000000* -L0036144 000000 000000 000000 100000* -L0036168 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000* -L0036216 000000 000000 000000 000000* -L0036240 000000 000000 000000 000000* -L0036264 000000 000000 000000 100000* -L0036288 00000000 00000000 00000000 00000000* -L0036320 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000* -L0036384 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 10000000 00000000* -L0036448 00000000 00000000 00000000 00000000* -L0036480 00000000 00000000 00000000 00010000* -L0036512 00000000 00000000 11001000 00000000* -L0036544 00000000 00000000 00000100 00000000* -L0036576 000000 000000 000001 000100* -L0036600 000000 000000 000000 000000* -L0036624 000000 000000 010000 000000* -L0036648 000000 000000 000000 000000* -L0036672 000001 000000 000000 000000* -L0036696 000001 000000 000010 000000* -L0036720 00000000 00000000 00000000 00000000* -L0036752 00000000 00000000 00000000 00000000* -L0036784 00000000 00000000 00000100 00000000* -L0036816 00000000 00000000 00000000 00000000* -L0036848 00000100 00000000 00000000 00000000* -L0036880 00000000 00000000 01000100 00010000* -L0036912 00000000 00000000 01000100 00000000* -L0036944 00000000 00000000 00000100 00010000* -L0036976 00000100 00000000 10001000 00010000* -L0037008 000001 000000 100010 000000* -L0037032 000000 000000 000000 000000* -L0037056 000000 000000 000000 000000* -L0037080 000001 000000 010000 000000* -L0037104 000000 000000 000000 000000* -L0037128 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 00000000* -L0037184 00000000 00000000 00001000 00000000* -L0037216 00000000 00000000 00000000 00000000* -L0037248 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00000000 00000000* -L0037312 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00000000 00000100* -L0037376 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00000000 00000000* -L0037440 000000 000000 000100 000000* -L0037464 000001 000000 000000 000000* -L0037488 000001 000000 000000 000000* -L0037512 000000 000000 000000 000000* -L0037536 000000 000000 000000 000000* -L0037560 000000 000000 000000 000000* -L0037584 00100000 00000000 00000000 00000000* -L0037616 00100000 00000000 00000000 00100000* -L0037648 00000000 00000000 00001000 00100000* -L0037680 10000000 00000000 00000000 00000000* -L0037712 10000000 00000000 00000000 00001000* -L0037744 10000000 00000000 00000000 00001000* -L0037776 10000000 00000000 00000000 00000000* -L0037808 00000000 00000000 00010000 00000000* -L0037840 00000000 00000000 00000000 00011000* -L0037872 000000 000000 000000 000100* -L0037896 000000 000000 000100 000000* -L0037920 000000 000000 000000 000010* -L0037944 000000 000000 000100 011111* -L0037968 000000 000000 000000 011110* -L0037992 000000 000000 000000 011100* -L0038016 00000000 00000000 00000000 00000000* -L0038048 00000000 00000000 00000000 00000000* -L0038080 00000000 00000000 00000000 00000000* -L0038112 00000000 00000000 00000000 00000000* -L0038144 00000000 00000000 00000000 00000000* -L0038176 00000000 00000000 00000000 00100000* -L0038208 00000000 00000000 00000000 00000000* -L0038240 00000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00000000* -L0038304 000000 000000 000000 000000* -L0038328 000000 000000 000000 000000* -L0038352 000000 000000 000000 000000* -L0038376 000000 000000 000000 000000* -L0038400 000000 000000 000000 000000* -L0038424 000000 000000 000000 000000* -L0038448 00000000 00000000 00000000 00000000* -L0038480 00000000 00000000 00000000 00000000* -L0038512 00000000 00000000 00000000 00000000* -L0038544 00000000 00000000 00000000 00000000* -L0038576 00000000 00000000 00000000 00000000* -L0038608 00000000 00000100 00000000 00000000* -L0038640 00000000 00000000 00000000 00100000* -L0038672 00000000 00000000 00000000 00100000* -L0038704 00000000 00000000 00000000 00000000* -L0038736 000000 000000 000000 000000* -L0038760 000000 000000 000000 000000* -L0038784 000000 000000 000000 000000* -L0038808 000000 000000 000000 000000* -L0038832 000000 000000 000000 000000* -L0038856 000000 000000 000001 000000* -L0038880 00000000 00000000 00000000 00000000* -L0038912 10010000 00000000 00000000 00000000* -L0038944 00001000 00000000 00100000 00000000* -L0038976 00001000 00000000 00000000 00000000* -L0039008 10000000 00000000 00000000 00000000* -L0039040 00000000 00000000 00000000 00000000* -L0039072 00000000 00000000 00100000 00000000* -L0039104 00000000 00000000 00000000 00000000* -L0039136 00000000 00000000 00000000 00000000* -L0039168 000000 000000 000000 000000* -L0039192 000000 000000 000000 001000* -L0039216 001001 000000 000000 001000* -L0039240 000100 000000 000000 000000* -L0039264 000100 000000 000000 000000* -L0039288 000000 000000 000000 000001* -L0039312 10011000 00000000 00100000 00000000* -L0039344 00001000 00000000 00100000 00000000* -L0039376 00000000 00000000 00000000 00000000* -L0039408 10000000 00000000 00000000 00000000* -L0039440 00000000 00000000 00000000 00000000* -L0039472 00000000 00000000 00000000 00000000* -L0039504 00000000 00000000 00000000 00000000* -L0039536 00000000 00000000 00100000 00000000* -L0039568 00000000 00000000 00000000 00100000* -L0039600 000000 000000 000000 001000* -L0039624 011101 000000 000000 000000* -L0039648 000100 000000 000000 000000* -L0039672 000000 000000 000000 000000* -L0039696 000000 000000 000000 000001* -L0039720 000000 000000 000000 000000* -L0039744 00000000 00000000 00000000 00000000* -L0039776 00000000 00000000 00000000 00000000* -L0039808 00000000 00000000 00000000 00000000* -L0039840 00000000 00000000 00000000 00000000* -L0039872 00000000 00000000 00000000 00000000* -L0039904 00000000 00000000 00000000 00000000* -L0039936 00000000 00000000 00000000 00000000* -L0039968 00000000 00000000 00000000 00000000* -L0040000 00000000 00000000 00000000 00000000* -L0040032 000000 000000 000000 000000* -L0040056 000000 000000 000000 000000* -L0040080 000000 000000 000000 000000* -L0040104 000000 000000 000000 000000* -L0040128 000000 000000 000000 000000* -L0040152 000000 000000 000000 000000* -L0040176 00000000 00000000 10000000 00000000* -L0040208 00000000 00000000 10000000 00000000* -L0040240 00000000 00000000 10000000 00000000* -L0040272 00000000 00000000 00000000 00000000* -L0040304 00000000 00000000 10000000 00000000* -L0040336 00000000 00000000 00000000 00000000* -L0040368 00000000 00000000 00000000 00000000* -L0040400 00000000 00000000 00000000 00000000* -L0040432 00000000 00000000 10001000 00000000* -L0040464 000000 000000 000000 000000* -L0040488 000000 100000 000000 000000* -L0040512 000000 000000 000010 000000* -L0040536 000000 000000 000010 000000* -L0040560 000000 000000 000010 000000* -L0040584 000000 000000 000010 000000* -L0040608 00000100 00000000 00000000 10000000* -L0040640 00000000 00000000 00000000 00000000* -L0040672 00000000 00000000 00000000 00000000* -L0040704 00000100 00000000 00000000 00000000* -L0040736 00000000 00000000 00000000 00000000* -L0040768 00000000 00000000 00000000 00000000* -L0040800 00010000 00000000 00000000 00001000* -L0040832 00000000 00000000 00000000 00001000* -L0040864 00000000 00000000 00000000 00000000* -L0040896 000000 000000 000000 000000* -L0040920 000000 000000 000000 000000* -L0040944 000000 000000 000000 000000* -L0040968 000000 000000 000000 000000* -L0040992 000010 000000 000000 000000* -L0041016 000000 000000 000000 000000* -L0041040 01000000 00000000 00000000 00000000* -L0041072 00000100 00000000 00100000 00000000* -L0041104 00000100 00000000 00000000 00001000* -L0041136 00000000 00000000 00000000 00001000* -L0041168 00000000 00000000 00000000 00000000* -L0041200 00010000 00000000 00100000 00000000* -L0041232 00000000 00000000 00100000 00000000* -L0041264 00000000 00000000 00000000 00000000* -L0041296 00010000 00000000 00000000 00000000* -L0041328 000000 000000 000000 000001* -L0041352 000000 000000 000000 000000* -L0041376 000000 000000 000000 000000* -L0041400 000010 000000 000000 000000* -L0041424 000000 000000 000000 000000* -L0041448 000000 000000 000000 000000* -L0041472 00000000 00000000 00000000 00000000* -L0041504 00000000 00000000 00000000 00000000* -L0041536 00000000 00000000 00000000 00000000* -L0041568 00000000 00000000 00000000 00000000* -L0041600 00000000 00000000 00000000 00000000* -L0041632 00000000 00000000 00000000 00000000* -L0041664 00000000 00000000 00000000 00000000* -L0041696 00000000 00000000 00000000 00000000* -L0041728 00000000 00000000 00000000 00000000* -L0041760 000000 000000 000000 000000* -L0041784 000000 000000 000000 000000* -L0041808 000000 000000 000000 000000* -L0041832 000000 000000 000000 000000* -L0041856 000000 000000 000000 000000* -L0041880 000000 000000 000000 000000* -L0041904 00000000 00000000 00000000 00000000* -L0041936 00000000 00000000 00000000 00000000* -L0041968 00000000 00000000 00000000 00000000* -L0042000 00000000 00000000 00000000 00000000* -L0042032 00000000 00000000 00000000 00000000* -L0042064 00000000 00000000 00000000 00000000* -L0042096 00000000 00000000 00000000 00000000* -L0042128 00000000 00000000 00000000 00000000* -L0042160 00000000 00000000 00000000 00000000* -L0042192 000000 000000 000000 000000* -L0042216 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000* -L0042264 000000 000000 000000 000000* -L0042288 000000 000000 000000 000000* -L0042312 000000 000000 000000 000000* -L0042336 00000000 00000000 00000000 00000000* -L0042368 00000000 00000000 00000000 00000000* -L0042400 00000000 00000000 00000000 00000000* -L0042432 00000000 00000000 00000000 00000000* -L0042464 00000000 00000000 00000000 00000000* -L0042496 00000000 00000000 00000000 00000000* -L0042528 00000000 00000000 00000000 00000000* -L0042560 00000000 00000000 00000000 00000000* -L0042592 00000000 00000000 00000000 00000000* -L0042624 000000 000000 000000 000000* -L0042648 000100 000000 000000 000000* -L0042672 000000 000000 000000 000001* -L0042696 000100 000000 000000 000000* -L0042720 000000 000000 000000 000000* -L0042744 000000 000000 000000 000000* -L0042768 00000000 00000000 00000000 00000000* -L0042800 00000000 00000000 00000000 00000000* -L0042832 00000000 00000000 00000000 00000000* -L0042864 00000000 00000000 00000000 00000000* -L0042896 00000000 00000000 00000000 00000000* -L0042928 00000000 00000000 00010000 00000000* -L0042960 00000000 00000000 00000000 00000000* -L0042992 00000000 00000000 00000000 00000000* -L0043024 00000000 00000000 00000000 00000000* -L0043056 000000 000000 000000 000000* -L0043080 000000 000000 000000 000001* -L0043104 000100 000000 000000 000000* -L0043128 000000 000000 000000 000000* -L0043152 000100 000000 000000 000000* -L0043176 000000 000000 000000 000000* -L0043200 00000000 00000000 00000000 00000000* -L0043232 00000000 00000000 00000000 00000000* -L0043264 00000000 00000000 00000000 00000000* -L0043296 00000000 00000000 00000000 00000000* -L0043328 00000000 00000000 00000000 00000000* -L0043360 00000000 00000000 00000000 00000000* -L0043392 00000000 00000000 00000000 00000100* -L0043424 00000000 00000000 00000000 00000000* -L0043456 00000000 00000000 00000000 00000000* -L0043488 000000 000000 000000 000000* -L0043512 000001 000000 000000 000000* -L0043536 000001 000000 000000 000000* -L0043560 000000 000000 000000 000000* -L0043584 000000 000000 000000 000000* -L0043608 000000 000000 000000 000000* -L0043632 00000000 00000000 00000000 00000000* -L0043664 00000000 00000000 00000000 00000000* -L0043696 00000000 00000000 00000000 00000000* -L0043728 10000000 00000000 00000000 00000000* -L0043760 10000000 00000000 00000000 00000000* -L0043792 00000000 00000000 00000000 00000000* -L0043824 00000000 00000000 00000000 00000000* -L0043856 00000000 00000000 00000000 00000000* -L0043888 00000000 00000000 00000000 00000000* -L0043920 000000 000000 000000 000000* -L0043944 000000 000001 000000 000000* -L0043968 000000 000000 000000 000000* -L0043992 000000 000000 000000 000001* -L0044016 000000 000000 000000 000000* -L0044040 000000 000000 000000 000000* -L0044064 00000000 00000000 00000000 00000000* -L0044096 00000000 00000000 00000000 00000000* -L0044128 00000000 00000000 00000000 00000000* -L0044160 00000000 00000000 00000000 00000000* -L0044192 00000000 00000000 00000000 00000000* -L0044224 00000000 00000000 00000000 00000000* -L0044256 00000000 00000000 00000000 00000000* -L0044288 00000000 00000000 00000000 00000000* -L0044320 00000000 00000000 00000000 00010000* -L0044352 000000 000000 000000 000100* -L0044376 000000 000000 000000 000000* -L0044400 000100 000000 000000 000001* -L0044424 000000 000000 000000 000000* -L0044448 000100 000000 000000 000000* -L0044472 000000 000000 000000 000000* -L0044496 10100000 00000000 00000000 00000000* -L0044528 10100000 00000000 00000000 00100000* -L0044560 10000000 00000000 00000000 00100000* -L0044592 00000000 00000000 00000000 00000000* -L0044624 00000000 00000000 00000000 00001000* -L0044656 10000000 00000000 00000000 00001000* -L0044688 10000000 10000000 00000000 00000000* -L0044720 00000000 00000000 00000000 00000000* -L0044752 00000000 00000000 00000000 00001000* -L0044784 000000 000000 000000 000000* -L0044808 000100 000000 000000 000001* -L0044832 000000 000000 000000 000010* -L0044856 000100 000000 000000 011110* -L0044880 000000 000000 000000 011110* -L0044904 000000 000000 000000 011100* -L0044928 00000000 00000000 00000000 00000000* -L0044960 00000000 00000000 00000000 00000000* -L0044992 00000000 00000000 00000000 00000000* -L0045024 00000000 00000000 00001000 00000100* -L0045056 00000000 00000000 00000100 00000000* -L0045088 00000000 00000000 00000000 00000000* -L0045120 00000000 00000000 00000000 00010000* -L0045152 00000000 00000000 00000000 00010000* -L0045184 00000000 00000000 00000000 00000000* -L0045216 000000 000000 000000 000000* -L0045240 000000 000000 000000 000000* -L0045264 000000 000000 000001 000000* -L0045288 000000 000000 000000 000000* -L0045312 000000 000000 000000 000000* -L0045336 000000 000000 000000 000000* -L0045360 00000000 00000000 00000000 00000000* -L0045392 00000000 00000000 00000000 00000000* -L0045424 00000000 00000000 00010000 00000000* -L0045456 00000000 00000000 00000000 00000000* -L0045488 00000100 00000000 00000000 00000000* -L0045520 00001100 10000000 10010000 00010000* -L0045552 00001100 10000000 00000000 00000000* -L0045584 00000100 00000000 00000000 00000000* -L0045616 00000100 00000000 00000000 00010000* -L0045648 000001 000000 000000 000110* -L0045672 000010 000000 001000 000010* -L0045696 000010 000000 101000 000000* -L0045720 000001 000000 000000 000000* -L0045744 000001 000000 000000 000000* -L0045768 000001 000000 000000 000000* -L0045792 00000000 00000000 00000000 00000000* -L0045824 00000000 00000000 00000000 00000000* -L0045856 00000000 00000000 00000000 00000000* -L0045888 00000000 00000000 00000000 00000000* -L0045920 00000000 00000000 00000000 00000000* -L0045952 00000000 00000000 00000000 00000000* -L0045984 00000000 00000000 00000000 00000000* -L0046016 00000000 00000000 00000000 00000000* -L0046048 00000000 00000000 00000000 00000000* -L0046080 000000 000000 000000 000000* -L0046104 000000 000000 000000 000000* -L0046128 000000 000000 000000 000000* -L0046152 000000 000000 000000 000000* -L0046176 000000 000000 000000 000000* -L0046200 000000 000000 000000 000000* -L0046224 00000000 00000000 00000000 00000000* -L0046256 00000000 00000000 00000000 00000000* -L0046288 00000000 00000000 00000000 00000000* -L0046320 00000000 00000000 00000000 00000000* -L0046352 00000000 00000000 00000000 00000000* -L0046384 00101000 00000000 00000000 00000000* -L0046416 00000000 00000000 00000000 00000000* -L0046448 00000000 00000000 00000000 00000000* -L0046480 00000000 00000000 00000000 00000000* -L0046512 000000 000000 000000 000000* -L0046536 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000* -L0046584 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000* -L0046632 000000 000000 000000 000000* -CB3EF* -1F75 diff --git a/vhdl_YUV_6bit/RGBtoHDMI.vhdl b/vhdl_YUV_6bit/RGBtoHDMI.vhdl index d34d5943..c6568f00 100644 --- a/vhdl_YUV_6bit/RGBtoHDMI.vhdl +++ b/vhdl_YUV_6bit/RGBtoHDMI.vhdl @@ -50,7 +50,7 @@ architecture Behavorial of RGBtoHDMI is -- Version number: Design_Major_Minor -- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD, 3=YUV6847 CPLD - constant VERSION_NUM : std_logic_vector(11 downto 0) := x"382"; + constant VERSION_NUM : std_logic_vector(11 downto 0) := x"383"; -- NOTE: the difference between the leading and trailing offsets is -- 256 clks = 32 pixel clocks. @@ -161,7 +161,7 @@ begin -- triple three input to 2 bit encoders when four_level enabled process(YL_I, YH_I, FS_I, UL_I, UH_I, X2_I, VL_I, VH_I, X1_I, four_level) begin - if four_level = '1' then + if four_level = '1' and clamp_size(1) = '1' then if YL_I = '1' then YL_S <= YH_I; YH_S <= FS_I; @@ -169,6 +169,12 @@ begin YL_S <= FS_I; YH_S <= YH_I; end if; + else + YL_S <= YL_I; + YH_S <= YH_I; + end if; + + if four_level = '1' and clamp_size(0) = '1' then if UL_I = '1' then UL_S <= UH_I; UH_S <= X2_I; @@ -184,13 +190,12 @@ begin VH_S <= VH_I xor inv_V; end if; else - YL_S <= YL_I; - YH_S <= YH_I; UL_S <= UL_I; UH_S <= UH_I; VL_S <= VL_I xor (inv_V and (VL_I xnor VH_I)); -- In 3 level mode only PAL switch invert 00 and 11 VH_S <= VH_I xor (inv_V and (VL_I xnor VH_I)); -- could replace with just xor inv_V if palette displays 01 and 10 as the same - end if; + end if; + end process; -- Combine the YUV bits into a 6-bit colour value (combinatorial logic) diff --git a/vhdl_YUV_6bit/YUV_CPLD_v82.xsvf b/vhdl_YUV_6bit/YUV_CPLD_v82.xsvf deleted file mode 100644 index acfaa287d4e927107cdf08558c6e15b1728eb298..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 53289 zcmaLA&yHi)m9Kds7@5IHF(qcEq?Ba+VJK*cQc_ASSSL`0SA1D9uykr#vN8)+-~*nTZ;_t6=c-`B2Rwb zT6>>;_St0cUvQn*o@P%^&N6MM<^Qj&UG3zJAAb1Z0`vd;EdTDb{KIMaUkE%r$u1r! z>;F2-N{@5Q(-Zx3QTpKr?eyQ0{`>#xfAsc?)AE1Y_8J=hpS1gmc5HSOYr8|*BvG;x ztVFCvtVOIxY(#8EY(>l?wj*{Tb`#Y2|EHQxt0z~|58L(xO45Z1`{!hLUTR`9QE0bw zN*zQTMjS=FEkfIIj7}m>BhDhuBQ7E?Bd#K@6Vz$hOl;&1XJU7v3TF3b!eYCG3tLZj zDZ+BnLRd+TDXd1U6~D;(97LO<^(;HPb^;svZ*rh!#8$*S&(q{~R?U*GIx)GM;C3cX zdRcL7CJLo)x4Y9+6B}=#T{6Hy#9>xTqoWwTE%Nl#$MMuBS%^*}&LYn9ki3YezRcR` zFjm+wJ~LSZqHNr)TB#Vw{#ER$;U6Vx=_HC42t-*8D(_Y-MyEhg7vw4uAOq-`@M$2C#P zW3(Ny6S14%b|y+axg#@C>`&)z zjL}hy-YVMH51WoYj%PE;mTAw^h;dDv&0}<-E0l~X-cOvZbiCxaN7lp{YvP7+Y1?+B zd&~#fhAFb`{!CbGf6NDG#a&CC+=FsH*mVTPHSwUDrz3h$%ic+o>z)52#YR?3u~`jm zTN+(5jQp?4DYj#@6T9l>`CamMO+4uR)v;U01D&0YYumw05KD$I$is++x7E9YXeLH6 zdaEvzw&NI`#OSmW=4qCNd74)PE@Io|--H9L;ym%Yqpt>RyP4SJwIgfdVOBcT;|AOA z&xFPHXY=s9R7&pQA6`U!J>s*7R}nvr_~In={4z%4ns}INu*s;}5jzo&+)q60Ddq4U z^Pwhe*X_Yf5ZeVWvWJ6skiU!g_gT7VAI3HDa2%5-5vRHs$$_|^c%mOR9e1u>5?w@G zM&y1XNnU6BoA8<6V?Nv{<^HWTVX=L$=jYi;@`&O5;xte3_0#n6#JQder_oCt zCh6+aQY~3t=bt?Z(U&Lb!;AA)R!dIqJP+r3-j30DKXHC!O`P}C;>b+&vwSzgyX&vX z<6@i>+XaP#Qoz4cD2e`k9N@R%GN1pU8j|ChIRD3(JUb1i9@oVABC93$UgvR5oX0hB ze&jLc`SCST&aOFa+wRVKI};Y$pKDoH<8+sOk(JA5>4hv;v?h`$ua6{cwI)*hG%S(w zXJG-AUurl>+g8L}xWDa`+Y#gaMES^?DEHLja7~o^r`hGt6w-Du6U2V*F)fku;5^GN z_xKxK$m>1+mLB#P*F^ab;Sp##u8DG76XjXBp_SvBC@*T^K+9VCE36#XM0uTzN*9<% zR^{VsLN5-SW2xIYsX`?<$76Bp;%>FNH|FUlvU$xZ*Fa#Bk1siu8DF&CGo0qdtB zxsj#6J1$i~jyz@L(p0B?lRlv_|$5^Bdvy z9)DXZrFa|9<_}rvw;ylGQaj}S}z7!@C6W7GWku`B~d`&#MyTu&d zIxMyy{v+KulG%S$j>zj1NpgJN@#r$#CqAmjwvB3fm-8szPdtii;!z&k#x?P%6QjI7 zu^W~C%dO)PuTLD_Pdw6n!7lUevM7_^9TwXKg@bUf_vkmLXLn5%{cSwg-^J)3vLdKi}hjI;o}Ss>hiBdcBX{ z>c1y@97mkQnTXFjD!QNe?@8NvY`f5t$|M@sL?y0?iq^!x?vl^^9<#Dhe}^;iI6K!b zG~e#egvEBQK90{tA76#1mybVvl|F!Y{37B@WhcjN)zV3Q zoNKg6G_HxqaZNlvvL+rMUlWfD^}F2;W};9aIp&}maHt-WXncL*@oj87&T_pf|bPnR&03mVi&&4?h}ewSikL@iM~rLY^&@NIbzQ+Dw~p5f>Fstf6NLiFObj9p^l?Yddj$O-yvW{Q zU+|AQ*!7?$^lGs_|JNowztLT$6Id?N$1rbH6iJewgkJ}5d>pZ^AdnW)k@!CJ(6#74ws#8$*SVmqs)2a0Q=+D)R{nW*;E!Qri= zT4Z|M?%Tmk5KGQxptNLShQcHo*F^O;M#t(aNuI>$G~z57{zX=u$LJ#BGU6)YI>9qv z6V;8nKb(mta(8w&R5f8~S#0No=dYb4zviD@M0{NxCCSesUPaVvR%!B!h%Y0?HSr`* zPEMn0N9;uGCb*r6C&$;slcKC#-|nvoizO#FP+Ee+h^&decf>5lHSuH|lP3|U!b|>)D>%Dhr5~B$Q|BeKBdKWyFU{a+aL4kSyoGi z@RTV`qLql%h_#6Ih>eKxebJ}!ebJ|ROm0W)MC>NGor$MCxx3qe{tsScPm7a}9duf| z^HLKg)M7g)9Ax{~GK53D?w3SIC+X{RPj7XwBsz{b39nf_o$9udB6Qf7g#Iv4S9GQti&wv$SJD7~+invbj%-6)58@X5d zVcQNu_n2?FaJw6unlN6A?VRwexNAwYEZjfQeygH33G(bLXfWj)lD3$ELOu?UJ_BBH%1W=MfiKR!iD0747SXO+Bx)yEHIdCwS&-;;l`7Y$o1T zx7&6HGhwm)G2iCI5-dloM65=vMXX0`D4g`%jL}xaJYqXyToZ41ljwFP-tNgAu8Ft% zCH?aD?f#muSTew0hgaa<{!K)^2bMg5e*3$K-1r8q72f`Mn>Eqb58G}gHv0dKnY)g3Kk;0ThyJaZu-N{X&(BNg^N#1Qh4;Ik zzY$)6c&_Iir%78q??^E|?|81~9ceT^?|2^9#PeJyk@Otb#Phf&o*!8g&yTN(=l%V| zx%+FvV#zUo9mlKp%G2TNJ+KtN)BGlFzmIMI6!Fgy{}Q{J$5USjul2*GOBvV1bM7aS ze`zco2tMI1p zJD)^+ahl#(-qCyI>4D;!cqi8#R5FZq#JDEjIkG0+Ild;|>6eOKxA)hC#dblR&9C*L z-2{Jg8t}Id!vN!ZVDEf?TBIlU$4A*|-!F%*>pOpr_!lK7QPxC)3*r8^(mQcYyc5^N zJ4fy(-Z{P|-sR6Uw%h%gu-N|8-_`0$M)WS9(cKU5-HM_~@)Jc9tSNe_AGV9^-MV&3 zRPU9i(PoUcBF5((@3v#K6OlD>tsgdxpGVv8_VoY5TgSV9D_!VE+rdl_>s!c%|0{i- zC>j2cCi`gojPASNooL%f$8lmN)pmO7)2y9#HH$sZV{{RtOPyeHpp_nhUhj{)PLg*s z!J2rrP1&~8{lt5EB)IG6?mue6satFp6zYA^ll`lA@9Er4yWNU#>8^@xp#O=Tx-39CN^@1YvRM??C#Hm z#rCKEp?XSY;=^*pO2j7*vt$8%Sc}nm#74ws#JDCtjL$nhjL$nh?8LU+1h+Hs;qf)` zVR5Ql-wtMiSTYmwGc_N^`-u-nI#7~)t3DGPN1SLHul=aHL;7$kOp<3Yc^+{QaT#${ z4PC7h+|9&B?r=?fq^Etmxwtk(SMU2loJ{rg9 zB;qvUEaE)kBH}XQDl5|KvreLC{#*2;jojf()by6#Zo4~cHQ~3Y#r88%I}ab;ua%XQ zL@N=Wou+G|R*TVk#74ws#JDDEd5pFrb|Q8Y+|ESp_?oEQD0RCX%mlIITz?&2N~#TG zbQJMBHBH)%747SXO>;caE{RSf&LYM&QCr05GU6)YI>Fsc9A6Wc|IV7Q*nYg155lAE z%W`s%i|n!z@mZEW+P7RRC#@`*6qm0KJzG-5<)1$Bi#|k7ki8zfoizhdaxQNNih^vU}Vt?G-Ol*{L zct7#+-Eh@nH&iuY4O?vIgy(9WU|C5C#x?P=-e^xAPkda9$@Pehh;dDP+=|gWVmo3d z;*mA+aZf2nW}>+JC3-j$#FBIUWjx3*;wa*`vF$iUClRL+XA$QS`A(8#RLdA$MO-I% z=D#~WKE5WdvVT^tZ}-=P#rDU%dRU~dwp{5eNsWA$jyXxejZCA;=uC_CArQVOMiL2u7Wz2&$VX<8| zI>;~c^r6(%P)(ClAH^Yjo1dH{(Q&pPk-C~hoW_ZXYvL;2Ph2fx+ojI6FU6*wSrf^` ztn)qYX5#qgG3&`^g6^*gi|uEkejZ+Ysh4%kBpUw?tp4=T{*9E2tX_-B^@xpX=&Bi` zaZS|o7;Q(?oTj7d>hyPm+|ES(_}_umi+$$7Oc2|3qqF&y{z@{zVLaw2;_tHbkyU*h zqmzi!h;dES=P|m7xQw`pxK4046C1h1d(0ne#&&0Sch+jcO0(E5DAbpz-Q^0)$w7pb zh|f;anfP%nM(Yt95t|X?n)q=ZqwR>Dh}{HrTD)fU#S< zlRQS-5#yTpq?<%_TC9mrj<1PN3Z-thyVFz?n~6fZK3;5&Yu`vk+b6z3ke1qN`>0zoZzy_wG~v zhPb~?-p#}&TO7Hc;A-r)`!iv&lWp`OYw$%-36_h1l{_F5oFvyG)+07_C6nZ49#9GAox$Vyy>N@GF8IxNP^N8(;o!FIT{H|w3xX1jgCwF8f3hC{3FcXCW$p8lt zhr+&o*wp_>yCnHG;y63YljtNy zCM>oe{^#l-IoHqQn)tjDqg6dBN!r$8l;#WvJ+wz&3q^m_d&@v*Q$4rustclMzYH_$GUdZmY`!iv&{qSF$X)Y6tYvM&*6ECXj zHA${TtQR5Lh|y-mR#r>9$`$SFhwUPJ(bg`(xF%k772OTv%-6(=p4^d{D5M#+wu6}{ zB=?`GdBJ;N2@b3I-9a>>k&++ihfR2!2jq805}hb1!D&3#+0XXFS6A`9@)wJk9DjGb zSp91)9o718_Fe5};`rZ{GyS{m{!CbGH=!38c)+$PJn~UtrLBwH1)8bGokW~Qoaq5X z($zdh7kZ48M3>=r`i>q%;X?1TGN5T^_wJG^ly9CE-ducI?PJ~G`u8Eg3B__%9B6PJ- zG-?XLKiB?bU$V?Q{+wEW`3I&oO3?dFAjw0Sh97mi)oJO2QoJU+l zTt-|)Tqn4jiH+RhO#DQ4x80u!i|uFPC+aDgn4gp*Rw7m-)*{v;HX=47wj$=jOZ~82 zWIt&aVN@O2B-$13PGLI}Kk3OGnF;l?+YV-e*sdELa}aSDaTM`3;yA0NT}@(i8gUkJ z9&u5GQ7sisPJI=V*Gcru?=gR}kvp7;uVi=I{h6@XekQ(BPs!PQRgPGRSdCbVST90X zjTmi4Y(>n4ef_XqWM8$lORytM#@tPCI}=~^2(ls7;z-rKgRs( zHsUxYPa;ku&LYkuF7#_Z8PzgIS49}}I*IOPVk37r6JN{jw)-<-vHdZ>R!_;qd|i%M ziCB$Ti&&4?h}cwia@0vm(V>Y+Dy6T{R*$ zV{$8E9jFCKkdmK zu8E%((%bD|CJF_TnHWSIMjS=FjW`zm2mP>VQYYFaJx?RfBF-Z&A}%AYBCZqM&BR9T z$V{NO+rL{>@L#Mh;s34PtUBC5eZjk~RjQCp&)mq}>Jwqv(RvV89I-^Jj##kfhz0A8 zSg_%U1)Gjou;qvab4M)LcEo}mM=aQN#DYCXELb>V!GR+d96Dmbks}toEo?Th;8=T2 z4i=m^V!^2+7MwX^!MP(ATsUIEr6U$xIby-JBNp5^VuiLiH7YE4rrq}I0l=~&7OYrX zvtZQ`3sM#EW?L&zSl4=Z<+d+ucrx}{*mT6ww&jQg^E}sFnFZTgEb0mic03t-E$ljC ziT1LBwpg(6C>9(zVnOckm5c>P9<^TsLO%3xs!VT`RT4 zf)kHo!Kot_oH=5_xt{_STsUIE<(Z8N3$DB^7Nl&7j*A61j#!~?e%$EHg0%7PgW*P3 zDqB}(!HPcit|%6)dNLNQc`_EPdlU;cG)A?>f=y4xf-OfZm^)&@wj&noIAX!BBNpsA zV!=W?9Ty7@JcW^EI4z-g7e&>Sa6}e zlCj{@5eu#yvEbSf3vL{-LaUFbuCU;lMa_bI_eRMrSn((p^xL3Wu;x)LSg&$}H48R8 zitP(|r%^p)iMAZEVD8CSu&uiUC1b&kN3men5exPlv0$OS+G4>$*$@j3J&FZK9>s#U z+Upds;MfO=?F%V;r=GDyDSIchVtB%t_iV-RgnS*7qF9m_nqr}SNt4iTgJy}Y{J7iO z;Mv-{!gAb=N3lw_YIf=htLTHXf)n%_cS5S!39%$sGK*S`J7G2F1kDnqnw^rdbVW5g zq1Ct(Hte=G>c2hc-QAdoalDREVOtkK`Uuv`*PXY0Qi5LMvq_yv+>lC3xZ3qgawB9>szbty5bp zNYOf>m9i63v`%QH?1T$%Yo+Xj6s=PfOIwQ839Xc!ko(UYw?w^Oo!P!hpHZhy$yku@ z|1Y^!velv!VnM$DUuabUgna+M(5eCmuN8TA83(mBE<`$d_)id^qaG|{rOLXao1-bPpiUlb#C&Ypqk6Ph56-ZM^SJ14YRynTZ z7Q8sk74>>@LMq1zu|)NkK%mu=6JAqX zP6bt^nAJ@vn2`T=i zD3<6Ss)kk)Oz2n8%ftyO{-xyY6+EXPoX|>wDe70yEL|;B5KhtU6+HJVXqKp7L9?Jg zvM~$#6*McfI&bO<3u=~5U%3U#`kvO3+iPJ(S5Q$bQC&d|(yPA-b*(@wQGItEZLuJA z-h^22rOlOBe-pMGu|#w2pScCwj#w?=GPgE$g$4ZznkBjC(d`wq(r$n4mMG=ht}?Sf z7gE0M)wZac@VDO9in67?(SMcsrmTkT%dO*r?Su_P~Q zb_!UK@@?u03;Go_3$DHG_BL4FIARsm(~Z;Yuf3idNL991ZhtPMDqCq=t4&B%HlfvO z6H=8;XtmmeRAm!dtu`T5*@RZBP1w+FPH45-gj8h{VmWThM&-5Igt_pUTcTVmC1Z8T zF8m6bCF)nuEZFnp?G>~_Y-)=oO0l)8%q&Q;wO4L0h5DjN&`PigDYmAz*lQuh)`V7q zO-Qjdp_O10Qfy6VCD?=%TN7FdHsRbyWhK~z3r8%&;5Ml9#e#kX&2qke1O1^fELFCi8j*d2uzOcPQXO;IdSN}~y_V49H9 zXhJN}KV$``U9jf{C_@7Q{oG;yzV)uK*TS(QmgvM!5DWTaQL~_K zga2JUW5Kz%#e$2BTen%zub^4bub^3Qt>Y_sdj+=@$IL2f)x;Ft_%N)R*fY08dEQXk z))*7|vk9*;CiG_$USmvn<;mM;6IM-3ZLxGkH8G*p7!y)WOlUR6guJUN#FCub`I-g& z3YrD|3YrD|3YrCb-gbKh9~X{TqJyd(2n!D7bzCes$_=sLt+Euwg5xaL!*sLY#G}{; z!l@&c=*$rd&I{`b3of!OSI=0`ub^3wKLk<~3sU??Xn!6g^!G{4D*C#Th+@GrL$hGn z5errvv7k;{ZOwu;M=V%(#DWb+EZB6!f-OfZm^)&@wj&noIAX!BBNpsAV!^@@3l1Ey zASJzY3RrODQ7m}thy}-vSa9Nq1^Jk*y264pkJ^WCg>y$N(M4`khXt3~zj6z%gz5@= zEnGWdiEbRR!Z)IqEGCO(Jc6}BbMa4lGPO!q*B+F zTaZd!LhPl`Z-ZvR+}mPFZaZQ@zk+7Lu1B|5@Qt4Em0O}kVZ*>)3%Rx3xFtFimfZG* zBku}J^ftFB792Zb!HKlmV!>%)hy`cTiekaJv=9p}9I@ci5esr_d*v47HYmhi3;nwu zyeyZ>IH`>u$C_1g^-NfDtH-fC*aWdeD~?!@#)@LWnj;phJ7U3xBNl8rV!@Up7R(*7 zU^}aEAS~GNC>HE`6btq|iUo^|S0v4X14W;?1&5xD<$OnuSfaO%Sa4j}`C`F|N3r14 z5ev?IR9JBCQ7pJ{#DYslEVy#Sf@?=CxbdE`LaTzM6U2g4!78~0se&c6+E_xWUymGYKSVBE_CyJ#jeH%T91^G65Ar@@eAkBigBNl8sV!@6h7VJ7=!JZ=) zEXqu~S#aP{EI4$;f+Ov3+=90r#rB0`M=a5aBNm)$f8`dOIbtt`bL}+@EVyvQl1y2EO=(u*R0xF&8m`Huwuzx$x2vt#1gGJV!^s27Hl|T z!R8sqH4C;Jv0(1WSg`Gg1v`#duM3_1*ckzLMu=uq!g79OLXp|!h#D&EVy*Uf?O-L#e!=`EVyyR3ZH35 zG8Q~DGz*p;v0%j!3sxPmV9gN=*43)y7Hl|T!KNo;!ImQy%sm+kwmpgkJ08V?U5{eH zo+B14>}iWx@K=slaOj8y|H0d0!QVMz!QVS#!9RIhEcl;2iUt46S*ELH7Myz&3oab7 z;L;Hbt{k!8T4$!Nu;9j{SmB!@qF7K?XcjCxV!?_d7OXmA!I~o$tZRSe7HoJFdns%> zVu`jKv0(0q1>25Tu;YjYyN+0}=ZFOhM=UsS#DYUdEI88s#w~d3QEXp0)?R0XB|6bw zbA`PWPPJDQOLXRlB|6t$$yjiqryxQs$xDx7!IdKxTsvaHjrOnH3f~gZ74}+qR)A)S zmWAhT!HTkkSUm%Ji@!xtG8U{kVo9z`D~bghj##i+SQHDkJcod`7y?1gYz7-C7D zX|E_2oI7H{MV8eR#ez%iZ`^__;g#FIaP5e_6mD|s87s6}OX>=%wpMGYIU8+!I8 z)Q3m7Gq=h}LM(V?Zzp-ZB%wbRHA_^FI%&IoEc)CZi+a5zMO!+)qE;_Sm^)%=>sQb$ z=vUAz=vUAz*z>mAD`*9e)H9aouYDMOJ)i(7c%{H>#vK@w8%NKq`&@4YP+{F5UV z{Ieq#{EK&m1?N60EVvN9atrzuGm%VXw{8`EgO|rHxlM$ z#%z|hZAUEF@nkIMSI{ij^XT>pT6rRMg(XUPA|VBhK*|#du^{D%gjTdj=&x*>B}#cB zMYpePze9PV8@EI$Pb9RWMMBCG39V?6aIWJEt!R;OAv|~67y1=6tNUjwPgHVC&s;0D zU1W@Xuf^Cm(AB(=*al{hsYX2%T3-&yUy%zqh_BsVDQHlv^%-Ftg zsJ+li3<*bmMp%;hQg21E;Mk+~Ro_C230=7*N--fNTZtjz%zL&HLqfjzL{Tis3y)ff zA>s1OhJhuz(j%HzZo#!DW3Po9p`utttwxY~#wuzxf}U+F2MIM~9oH)d2`i3R+VWj$ ziekZ<4Z|#0_hc;CaKwU5M=aQK#Dcja7Hm6WL4P)37VLU-`)p!c9>^;PDSDG-xz4D! zZAIw@|(Wm4VEL*bI`4Lteu|%opqhu^t^QhJN z5!M~CL>rD+u<3{eTaH*Tcf^8iM=aQJ#DZN%EZB3zf`ua%q)?B>j0J}twemf}kt3EU zg?f~X1;-w>@;$7vEbYh3oab7;L;Hbt{k!8+7SzG9I-;HzoS87!G}2u z$*b}Rb(aHTiB^;)#Ddh{5n{obN3AN4u_AwMvN}307W7xPz1WU$7W6A<7VOJvklQQx z!N8uhdTAU*hu#)T^2iYjQUphBvEbOFRvJe*al{gxI%2_@BNm)HV!?$Y7F;@F!IdKx zTsvaHjU!fQwQV#=EO=&7uXrOYJ7S4e9I+s^ZAxyznn$hTjj-;BCE9Srf=x#(*mA^z z{#ev3=#NFc;*GH5$=k=GA9fwFB=;P#U~$SKGz7vEbYh z3oab7;L;HbuCjt@$AW8*V!@3gR%mr&l#B(b8&h%%mMz(<$p|ZsSfbR8Q8E^+dDN=O z27VJ4j#zNxh!t9u7Yzdo zQst%O7A#w`*M1RJ9I-^H@}gucSkqpJ1?!Gju;GXWn~qqp<%k9Is&$10+m2YUxcz=g|)?keS5fN7NmHK28jjzUeqi|@fJn5_o7z3MQ9~m6dkKep_OzIP8_kcojPK{ znU5I@&K%=U%r+z@*y^v}td6t=Em*b#c@-34MXV^6DD_T+Sg_{FRs}^^ zcf=BHRIM!*Yh%-UkX zks}tQjER!5;Mk*9+(bBW#1fr4V!@ds7Mwd`!G$9hTsmUGl_M5hJ7U3&BUWhDNi;|- zNOh8uTd-`&Ub944al{g(I*F38V9ldevqV^T#1d^dV!@^(7Hm0U!Q2rGwjHrx#}Ny5 z9kF1~5erguM1#bF6de&_!J#KxDH7qx5lfV!BR6iru}7^GiExrxS6HG`p^~xS%#*Qw z;oOt4L>C^#f=fp%xYAx-VZpWb8Z)*p+;|kLsMQit6szbb`kahbtXCKj>JMYo)-1^t zWeKrh)$T>jf;Ep~!MY zj#zNyhy`yQvEbMd3r-xd;M5Td&K$Ae+z|^d9I@ci5eu#yvEbSf3vL{-LaY3ti-HBI z{84fXmaAMcuLmNmSX-|LB2m7$yl(N8)CthS}BSJwF9vrbvlGt&>zW| z1^tnXSMLz^l&0kEBN;2rA;gkAC@flR9EYBaCF)nOfdy|fOU8m@X?2AKCtX7B(5I<%k7yM=aQO#DX2~3JZ2UiUoU)Sg>%! zf&)h^IMiO}iv>s8Ys}cb@YWGabgVCDP!tPJJc?2);wyJF@!ueRTN8<8W=(>*vtyh zDq{#+HA5`XT#XdPg6)jYjhF>H9>s!P;f-6cXZJZ~`@+JLvEaZFOY%?$RL@v&A@l>4+tn&&enm z3$8tC1uujfN35bRw4*C5c%~CAxdpjaLM&Ku#DY~vELd~Ig7w1M*0$KtUR~7-k2W2# zB)9DM(F-h?Yk%VwY&&B6!jAUp3JZ1}u_X5#v0$P7Gq>PC!xLil4(|&JQK%~{IFdeh zOY&`Qh}9Fo7h^{(IFVK|7M%JiV8PkXY>-&G@^_caf(uXHzPt3o??ufLr4WTig$4Pd zN1>Ic5c=OPnN_r@;}PBd?Nam1&@9oiBNnVUVnMD|$t_qD3$b9`qgb%vhy^)>lCfaR z5ew#ySg`Gg1v`#duSC$Y^fpEh5ovz zS+LLn|5vxP9SC2!{g1+-8tFjTYvD+!Gs2R5>rpIcGyB8k;fMvBj##kehy`;;EZBC$f*nUJ*mcB$Jx459*e`3dASD?zW-Lfa z2B8&d5RSa96>1Ptl0i`{$zzXNp$6f^5leLHhy^Lhpte|W?olh$AY5eGnW9*tOZ(+z z7UVNcief>YO$f1|{~f(qp;cPAaSNW=fxP~LuTG9T-Em-v^wlAzXVu{vs)|FYX zAuPGQ6gE8>OSI*P1!YObf^A1E*m1;yUF~1F1$!RFUJDEDUvo>8TicCWebD;lFt;

d9E5Gog~P;JmP|up}?ES6eL6r6U$xY5&SC zxb`UaTDWnKO}m9kC?$9I;^Ghy@3Z zSa9fw1xMPSy9I9@v3ex))mVES7Yj}tu_R9&vEa-R3(g&};3CTkb%h0&9>s#I!VZK5 z*B-@!8%M10YwZ{n7CbXF3zi+RV8sy&Rvocm%@GUM9kF1;5eqiuOKw5#f`wQx&kV6( zyI_f$1v?(aUJAQHMX^MC+N&!p(L(#@Zi({DPl$a>I24|{1xM0qi`6x z`Bpn{qDLcYizRugEG1*XnY0i~^4z0Xa8cNpv7kR6GO~x$PP*};^*JQqFdNP)1 z%MlCaj##km2f~6}D|LkhyN+0}=ZFOhM=UsS#Df0HwpnoG(d{eS-`oms+!7tv>SzC) zne7WFx>iE$KMA>3O2&e-njx0t`OgfoAYaq}$}Py(^b76F`-LktQdjon{lc{)mgJ2i zR`^rxm<=p=W@z?ASa!sM6-O*sb;N=-M=V%(#DWb+EZB6!f-OfZm^)&@wj&noIAX!B zBNpsAV!^@@3l1Ey;Ls5ZjvTSzts@p3YyUsH1t%WG{s-aI5leLDhy~}4Sa9Kp1(%Lk zaOH>v*N#|l0rXKag~yB<=ox D?-Iy_ diff --git a/vhdl_YUV_6bit/YUV_CPLD_v83.xsvf b/vhdl_YUV_6bit/YUV_CPLD_v83.xsvf new file mode 100644 index 0000000000000000000000000000000000000000..7ea5a60d1605d05ddfffad9bcb7132d3e99a213f GIT binary patch literal 53289 zcmaLAO>-kxmZs?>7$T@ZC=ee?g+4-Z1`!ezK}nE8+Ref_fdv+@G5mmDz90zYCFWn(sDwVW}V8O{5g;~?%KQSD|7@OjR; z*RNl{aC{JfbC^HQ9`7Aw+V<=JUtKr(-oxL1`|SwxfB(MtWxxL0e*Iqvyt9`b-BZ^8 zagfy>6qv_*`sb+j+i$wjuQmPp|LQk-`;xMT zn-TMft%&W2rx805i-_Hby@>q;HU9r8*I^ChYWiWT4xlDony`QNHpitVHWQ_8HcqL- zh@*&?StCupQsboUI3`abP9t7NoJE{RTtr+(TqUT(vYA-R-JOZeU=_@6&xFM`2}ib` zZc>Exq=m4N>{Hmx@?<9V@~p_t*@-qqTUjeRa{}90nI@lV%*lQ`5es#dM7!!H>8dA8 zqC3{a-tINAS61VpgG~?GvyHbz^1C*Tcc`0l1H(~Prg)i+Q@o0YK8}Yz$>wSDG%F60 zp08uuS;V=9aJC)BB2LUQ;wovY!(vVBt>rGN4ywb`y<goa3 zf1l3Neq0m#P4%0!6{XmU*p7G_v6F|kMT~YM#x=3OV@>SuUK9JJI^rO--Ch$Gd*Cq+ zefax&dNd=NY@?TXz^fP?*R$;2HhB`G(}>r3=xUaQ{mx_ZB0ETjv5dG%P-l`gvA_E| z!IfikIJalQVw>PmRy!z)n-pO^jJKxg+)t9VCeq|QYu@ZfZM7!SXj_-Cq;0%T)H-Bq zoNQ`a=qAB##9rLdjx|vmDCO=IvsUKCrrVpbY4U8J#5O?1vWS(`Z~1HP*z3){%8J)2~U}c|6ud#AQrg2{-p>9Tsb%wtGz+WEyg{ z-Hff835#uqaDYy*UJuxa*ldPq9mPyUYWwiY;ztVy*7)&cx;_rrx)!nUk-bS!{c7_YSf=Io5l1r6tiuSf%%x;fdD0 zoc`%nn|f}Ai{QO>YzMp?>^ZK9cZ%%+FvxDiUc?=b9q$avUH6W6&egKoZm$W8CF30`FTs(1Pwu_`ckn1X+^^|* zrf;`r!eZNFJv^-KCC?ZR@9!5WzE?{hCl8x@>C=nDH#Ni<8?3#)5?OyK81hHfY!#ISvCJz4?qrYf=leYg!zb82P zAH$*lHMae)I%7%lEJpQwLeuPM^o3DNX~1W!ET12w#Q*&>R-g@m;0fu)ToZL3JGM{Z>fMNO zP1LzgoK=TV%|v}5zI*SepKHLmLfY=k1hHd}F%!eXEKBe|>?ypB#x+s@(|(>twIINIOaTqf?!gvGY| zJi7M}`^nK9)qf1wcogulS|@Gu{aT7I9|iofo<5Wuef_wW9P3eB6Gw#(BI&sso^l@b zv_z6-`MtNvHSy@LPr}4p-#<*JJg$kOc|7z*F;DlqEQ%CY^(}5@g7+ux z&cwSnyVTrmhFZ;p#WwCJdza^&32J6jY$%jOn=zV)>%_Y+!}@>s%Wz?MSJ#QOtGFiK zEn>7=hU8w1?s$&*?jYZ_Cf+@N_hzSeW`bC9a6jqZe6Ag_X<~lrskPeSsq z@mT-!etvTb)YV^g1x$8y{c(B~d3P3GmApGI_0G1Bf?+IvAKEV40e4&{-dz{FWyff?DotYrE=|(*a^|I-_$`y`;N%S%-`1@D;A^K~$cijJr z{xFerrRNhV{*!i+L}wA_dW~f-4y84Wg>I5zEX#nbiA`6VnOLiXyED zchb;qMiazLK85)Zc0n{5m}3HsYFS6mb}FO*DEs z-lQwm#BnvqY9<=H*F@udd&R#q6U35Ue+p;4@pBvz&nM2ReQIK^)G%o~-ph&vCwh-G z!D;MSuRGF-nZ<*fYbVFs9W5d*BeEtoJKD^|TEA@0e-+d7i3j_&CpR`}!osuI#tGwV z(FgbU_1Jeaq6hJ{=!5uL^ufvg{WSTD@Z$49d@cIm>llq|;z1Gal@Gefv1*X78mi0*hF^Syyu?3ju2<^|ZDHSr#?Wcb6Yu#-_dnz$z3 zyNVMt*4~q@;`^BIO=DNrF*!b;cyFE+X;%wP%$XXn>C7&5lk8|!Y_VDs@2z{gX5yi` z-E6n-9Twa6`cUsrBs+Pi(Wlsm_$a)*eE1?_D<;2+(Npa-*>7AE4~y6~u8D^_%4A|5 z_LJmlCLXdT-s*>~+KuiV4@==#KiclhL@D`aBHENXEYgei!;vrux(~yt>5q7JDQf`|JXG1(}zS(ZigvGY|e9TfwkSR>C z5mCpMM)QcRi0z1{5jzo!h~0?2h&$HA_1#7lLQ zB>#PuzI*q0to5EmClRL+ul2GpNuI@nn@3zkTt-|as58l{^2ckryECEpQ*O4Kp{fb@ zW{Yh{^aPz?ToX^?nt0NT$$7+9#JDDM7x6O)UG-H35bJlU}(o($At$4r!Z`$ZA9 zJ2O#+w`QLF6fwRQee%Dkn=|XjX7P#+xA^CXdVeB~{ugB?$=7j5vzR=OxQH0n#FLex z$NFK@nPg2oS?l+^Gx5}N{GZ!1VX^H1pQ@*1yienrc-n~3X2d*VOSl=}QT8;hiKnM! zh<0MzLgPqA)s5JT$vfW1e7gI6%%|Gvzx6pQi#<@BP2(NvCc)8F`q8PUm%89@-%5HK zUw1qmtL;nuu&JwwZjz&!s;gvTcov#Fs_OBVNQOU*IEr`~QD-@AJB~PsIE{E6aTakNaS?GDah2d^Cf0Iy zXX1CVo9*^YSZq5JzhmtuSkE}oz8z)1Ys6?XVji)T7irtJu4YMBr!l#c_0nh&qus1b zqj63AuCGC!s{vaz6Tchiw+mOBmU@o)y9>Qjwy~SRs0sIGi*20n4@%wiD*UyNktTl=yXt5NNmqqX ze<`v}qw4CHB+8mN^B`mHCs?hC4+e5KXWvt`CO){()49^|&P)(H_ZYqYL7yZ!-r~=3 z_9z+YqZFA@JS;y*>4X`e|~^N0&!GBNQw@xhMk#0RX2xB6kL`qfN4tJQAK z#_gG~*meld4)@Z(hCjPs3-}=76ZM<4JqdS*XYsx5XYsx5XL@h@W>6YpToccV?E&cg zJd11MS-eg>V@+&^QLTw*yVt}s&bzYNZbq&qTqi8H2@3z9-xJiDNb!#mwcad zTwD{+{xST4<5^r2&*GYRHfN}eP@6`@nn*CNiDx_3#52}}25Vc@QfuPFjgr4T6BgU< zM?8%f*ThFfjCLc&zl(mR3)cYvFbs|jb_ zV%wwn_+FM?(m$>zJ5h2Y;*-7fsno}LjJ6`SBc4W#YvSV~M!OMv5&H>NGx726HSzK0 z4nvF0QEz5~*v9Et<73CiqZqx6sOv=9b{wOVh|`GI5#yTpcwU52En@OA;wr(-Ozd70 zpX@*0u9VGDS2JO;jXTOdx%W7ICiqD`*@>c!h)?cqXI9ZXMq3fv5lR< z6Bax3m@XU7^*NYiCZ5MN@jR}H=XySoJa#AHh zxcl?5Y?3^Q7}vz}>w_Xqo@MPm_UVCEM1pZmd>Yrpr}`V1(tT6gJPiNSR>XG1xF$Z0YvR))CU+zDBJNld zpYC20pO)LdL)@7OV#(3`ZTJNHr*TbudKriCr@i#AfuD|JbP{nI@jBux;ymIa;xghY z!2@3tpRVQZu8Gg|9CKe0wwt3?6IQszwlneBJ^c;!jF2`(>qWZYKWoUI6Vj&WqrLRW z$7i{0(p4*BJL0LbljM#t>A8r>UE#5Q*tFlCZj!cr9m@vwEBB7i2Kr^kO#GPbH?0@7 zNSau$#5PVn48wx|Y!vs&nmDU^Qu0+;6Q7M^@+9IkVq6oS#WnHSJSHz9E+eug{;VIi z%}lT+c&fx!9iARL^cj0s*v(O^2{U1_jT7qscWhb`t*Wf_x@D!T33=yrtPs zqV3rBG-4-W5wRPw7qOpUH52)u+_fh1A2;`n9Wy~J8LzGrDUOnzXeKTr{t`Y@kdI?@ z5^);wI$~TC`8>|zLKBq?a+wEQm0R4*#9G~N&VSWXYvOos|3?LEyE%ty!fCeHb|=S& z`aMBDjhtXZZ4!L4r>lx}YhC3r+KSkYcp6cMn)X~o>_+TG>?c^w#PL9G$4rzPr@d`P zzL^PP8>fjGCMm*ER;E#&PbA5|=z^KxxCmWMk^!o#X_lvLufwy*<5~DmxX1I@b`fzI zaiyNmrPvPqih0cEwl7?5_Nq1UqB7jvj9g7Pkrvw?&5MKNy$nU`G$B))Fs_Lg%`APF z^F^MA`mMG*Gf^s#jCUwHuX1(h z|FiB_3C8avd2v<#K8=pczsdf2+jdg?Zz)c*d78}Yj-==Kog^>jzb_8Xwq5a^Bnigv zBzdt)x~kU1i*>&1J4sGzKmD7}CoHyiv(J;mAJd6BVNGn`d^w40;^c`wSCX{Nm3+MI ziZzj7ToWg8O`OCvaZ;%5`L?TW#GY_(8{P4@@{>Wn>pF2#R;_e%GjdHH3*KT$uX^2) z?j(NKzzLtTN+#w?euu<7>ZFx7-}(;~JB z3V+&9FM_T3*wKoQ9j(9LPaj2FWA&JHrSBw3ae9!a7#}-Y@v);dk6kSyE+g)E>}c(N z?0EU#d5&qZ?eJf|6Yd=^>tUZS|MHo<7JZq=<@AXnN!LgE(t|k$A ze9;m@sjr^F67y&R9z=N=Q#pr7rW^}O*lA< zZU4aW`8!F9qC_?=gmF!L{y5yTKF?!vD`H#|pPy=|NzZXjd|t%1-H35be7<8%e7<{4 zd|s-fYP&NNr2@%_hQefGSQAO~GU8Rlal}c)X~gS@vxxJEi-^mJs{{{xO?UOh0Uz z#f5H?Q7t2`64YUFo%muccXuY*vYYMpOjvBYleT(F_SvpSY?SF!sdh6)bG-mMmSQ`~ z+C0BVuq{k>bQ&IG+a0w@qJ{8WKWyr%tD6LS$$x=UbjO-#57c7EOq9~qc4sC^1(G2Q zh4-pl?PL_AaZR+ZV)8f-T}_IBQzakkhfO`RCK8PQH?Tb~LfeIslR++p=lWq&S1Y}t zw2A6WvL@Q=eAi5TX-)i}+cROYO*co`m+I-JS7AM3BVt?=U*<8|iWt|#m!~lr*Tk1a zjCLdTBK8xkX5!1;uRFdhm0E3gW};LenTcV4c5m)(kznhs@E9LIJTobS3ns}YZt~F zA~qxDWk_ztXj@aB?1&vF*ojyaA=-`6Uc`Qa8b6PsrvteiGf_%c+nt#x6-Y)jj5vyT z8S$zNZO1XH=M(9nPqQ-J(RECoMVv=mL|jH(CAgW1wcOpA_)1p8u1v{Ag439M9dQm}TGqIMtyC%M_8gX-bCM>pBB^+g6tEc2xzph7YL~KUP zBeo*8Bc4X=L@Xk9BlaTp6Rc+9>w(;knJA^J?aoY;3M4Zzj5vyTnPvZ)BwxkoIN~JY zG~#s;x|+r4JmMnaGU6&L|F6xcHZ!qS%H5gxMs~B^o(YR>x;e_eQBTRld{d9u$V0Rl zQIxiAMQlfmYvP+uj202Q5qlALtch<1O4%_JrF5YmZFgp(lsqG%O*1jf1CH_{jcQG# z$9ffU9B~qH8u2>fEaE(3{C>=D_%w=DV;~`semcSZuo{I&n>O z;+p6*=%MQBDC;yM<`G-r>1rpgiOy+E);Q9hi`X`jH_W5liVpC@zNzTJ_;&0>kZGYR2(bMoU?h}^nu+fQ2fMyo`Mc7(uz&8%1hHg9 zJa!~FiWsjG-(9Kg_6g~CV`0*E5^)+aUMIer#pqlw50YbD#ON~OD#6W6tmW>mi8r#F z?eM1#zH}xXDJG^OxyThAi#5}fbMT~3W&1tx7youL|H}QM#-gIMfFZSF| zqSZ{i87O7POq4pFrb628%mlGV9@D~m6R#6*Ml{(*tzh9o$RU0p|xwE#Qac?*oYX{#1DClwj#D8#x?OnToXSOF}YiY zQT1YU$C~(I_nP=Y{cN^7GeInwiDAT1#LI|R;m-2II7TNCrxC9s&LYmsFsen2E+eiI zJn(zR4{N!*_YTvFu!GR+dEFH1n&=Cuc9I+tf z19kXV@T#=gu%d#(v9zLCq7z3fICaE=*N#|l=7JrnjFu|x-sSg>@&fjwZ(#kZq*eQ>^fpWKZ9mL&Xtm@Gq~?(&@9nX(TAB?kkWig#y${^bSt!ieZtG! z5KHovN3r0zo@Iw_!HGw)_k>eNEYWKHcHXcioJ6bqJl!7#AkFt?tu z;7BW1Q7ou)wg1E|s53}g>?7e=6Dh`))zX-l;8?ld{YW ztr(t=r_G9DNnU%;SmC`)o1$3oz#iJnf_0tInp==p%|a|lH9MibmKJIUpw+k&^6r`t zOLE)W+Iw!oQ(OFIiFUj#7A$O#Wy|pIvdUa+MwOVwFV!=aw&rz+a zP$#4ooe)cu@BbHCg*qYM|1Y$v077cf39TxCkXm#?t57H8JCcM}p-xCGI-z}YlF-kn zS$0(DP!z?2T}Ld~bHsvuM=Urftt}Qj_b3(|I$}Y}iD^ezkP>r3D>5d$@?wa8^v=`~OOz6G>Iw_aJcJuV)uye*dK+7Tbwc9`kPSa3bg8PLY*rO(yPA-kL`H9`kU|zk7DVWI&VtGf;xksReuw5 zu7p^kTtA<<1-p(|J;SJTu9S=gxwpM_OY*>@*fZg|_IKizDCOIP*kj>;@IhKpH=&lSXhq$GoGYOfbra4Vv9w({V!@?u z-?|0;44OR?u02|vL95E9p0SErRkoVfaZ_8X$|kg0Z9=ND39VL}kg9A#tJNmV?c3GO z(w3@hief>kvI(tLn~}dZ&tJNkf9I>>eDx0EMu%}Zev|4S#zPu1ibl_1e zNFg>wu^`3PgjkSbYeFl*CZyP!&`PigDYhoG5^O?>tqH9Jn~-8_LMy>0q}ZC!O0Wqj zwkEU^Y{HqwF0>MCLW->ku?&M^YeFl*CZyP!&`PigDYhoG5^O?V2@0(Qn~HMn+affp zuB?Vy%`K?IRORfN^Ezn4dPcI>K@&C{u_XH$^g3w5+@n~ctsku|7Sy2V z3JdxfGz)$EJP=D)|D^p3vEa-R3(oaK`p_-7kXFxFy;*R7 zSsG&Zge%>uD=f(WXH+s)ZLL0;5UZ%wC#$&ywP3TiZb82fdet(aKMs1;GNIoGy=s|| z`ef>=x(_y}PbRdgWr|XtOlVchgnkCivZF%#RkS*T4L^fsiS`aGiUs?+J#h>A88mw= zJlB7oQ&(7`lpPad!JmCcR*X#eN8wwyM6c|cWA;p_XPt%GVu@0AOo#<3J0`SZWI{db zkHO7SgYQk%b38^NgIzGAcx7#|;7G$!6bt$pGz(t&@m6Q>y|MaGGM4D1X@~`< z-W3+S_GB#RkAr5xc~;O>^*Hz*CB5FdCA##sR_IH(Dr`qsqF&9*tk5cTsVgj~SAOc* z>vsw3)|J=q5;h#MBvYwN$yo4(qt)*cwmcb2luBJn#)7AIfieqrJQ)iXj#$vopjl93 z)$y7I`<{$F6AnCzC0gqCSGPom1(z?ge-@5}8Wr|fc&TI|mbO=pSa58M!7MoOC>ESL zV!>-iEI4z-f^$bKxNyXROGhlY((PNf;M$|uGu3?34P(YC+B`5c3)UU6V8am$l2&sI z=8jmf<%k8_j#%*25es%4v0&kd1-p(|u;+*c`;J&};D`lFyIz?EhaSa(BS$QF>4*id z9I@cI-C?aSfW(HQWQ(H zW1})lv~a|NT}Ld~bHsvuM=UsS#DZm=Wo8x}I%2`mKUfqCUN#M}AjPfJ77LEOXDfLn zoCtM9SfW#Ji#-uVvm=(MUioS2%!2>L9@));*S;exIP+vIICsQ?3r8%tbi{%y-JZAw*B-?lt6Y$7 z7zS2Rs|Qtc3)U@a7Hl|T!KNb?%pI{{OSdO(!L~=S$HLRnqFACGk79`y2aL)r(XJyF z?0GU4?B|w@1$p;HZLwe}{m?Bqbj0d!_D@Gw{2AUXc&SUD5UW?#R^~}vVZpH)ec_g_ zCPGEAFNISzI;o033Au_Yiai$kRn#nP=iauuiazzLs9B=^o{U+LbEU4Z;JPrx3g6ca zQ7ouQ5t;?-j#$vopjq&-N2@dVexC6>)@wQ`+VUutWbK|P7Cd#tf*nUJSU6(At|J!g zIby-SBNiMuV!_f83l1Hz;K&gRUOHmID@QCicEo}cM=UsX#Ddq3Sa9Zu1?P@faN&pr zmyTF)<%k8>j##19TGGT~LH^cTa|_n99K?bRk7B{5BNogZu^_dU)D{c2Gu{g_3!Zv1 z7NpjaqFAu-s8wJRcC(TwmT1qTSg^0*T(|`X>Qab37hc#~2WG)P2o=SWObI0+mMA5Z zgjQHdNC_pO6;=}ds;rt@lKL%~)lm6Ss<5Wu#i>^^$~oD_#%CUN1@bP!G;REXh* z6&9R3VnOb0I$kWuy-jVg9Pi2zOLVQA$4F?^jfB)O5?Xa5 zA$5#|R^3RbC0G+$btB;yj##?#ch$VQkx=id(N*=Xn$2(|3;toxlChx96>YH~<%xt?(9fV*@YnsEF;{2snV&%~TBIoD zi4?8Qpp_>QTG1j!XFjUx3|e_2MX~hExf0GR^fTy1ixl-U_^djERb?VCT2xgeQroq+ zwW>okuT!L+t?H2QohsVUt*~ApRfmLFl5>w*Eh1q{c;S|4+oRZX;i)5*XvYx?7LHhu zbEO?&!JZ=)>^ow?fg=_?w?8PD1t}(^uCU-pSsEl3ymZ8#3n?a~C>9)N1#PXwkZ>X` z#L{-^hy|}5vEa-R3(hk>k7X8IcoYjR9kJlb5eu$$t5IQvRwGDVVb6us2)gfszK zM=V%6V!^+)Rc96)c@zs?I$}Xe|L6d*;8?eZZo!FAQLLV+el&H&61{fBf-^@fICsQ? z3r8%tbi{%yM=ZE@#0ssVkA{H-spwOy>ih`nmh5$Ygbhb5$yD@FG8W7|YIS~uEk`WT zwj&lib;N=lM=V%4V!^H>7VJ4uiB5}i6?!D~k>ICI2;^MbQ)7F>7~3oae8;K~sTt{t&LtG}bJupsq!YHq>0 zC3{sKVZ#wil=?eL#)7#=ttyYO<%lKPcEp0Gj##kchy@ErEZB9#f;~qp*muN&14k_Q zV~qzdvmj-4gjkTWIzlV9BfLCdV!haokg_^LEXiZ<*^2E5Cw5UaOO&rDRWcU5_NWzB z5%MW~MX^NrTdoibF0vBDf|S(}VnNF42(8$T&@1YgRn)5Gymbp6+B*qeLq}M*F?$Ui zVZ#wiGSzZwZo%B6RzpYFve__8wC#umIag|n1^o<~1q($_+=5*@e6zSxev z=m`A`nkD+9T{g{vL;DknS#adTz=D@qnZ0!jQUphX#GVPqo@}LYgcC;vqY(Fqhu_Y+o-(ajj-j2CE9kxf_?_gf_?_gf`unz!LB0~>^WjV zKZ9mLKZ9n$QtwM@kXVqCH9{=tXV5Ho={;jXO4cYD3ywW%g=~ZqM=a5)BNn`N#DX(N zEI4<>f(u71xOBvVD@QE2cEk#;5{(9l1*t^y)-6~sxTko18ev0O7jB7CiAGWExiHtQ z(CX6&TaH+g+m2Z9R9?wgup?B@Sg?>*6nif03Qyb;?RgY?EbJdxGM4DT5exp9?dy}7 zWw?rv0qh#!vaO}xec1AdH#F9L9#Ddq3SkTX)S#a*r>I{Ci zaKsW_I%2_h6>FpIj>6#v0%dy3sN^mQ7o7@Eg1{8 z9I;^A+hV~}M=aQJ#Daw*7VJ7=!JZ=)>^ow?L21LlfH^Bnp>g`Yikyy%8Q~{F!!j{ei61Du|(UBSn$*l3u>(F2n!aD zSg`Ae1$&NIu=z62h$qB?6mJn?!Es@Ow305uiSGzY zbeiR~#e&!NT*WNN7w{{J1?S$@zLsCOaKsW_I%2_DTphaI6Z#o6tJknrK}E^c89d3AeBzd5&Xo{*Ec7#I7W6Y{mSjJJX2C*@ zF5H4$N9?(7?{zEKxn{q%9U4H4U-grBKI<1u2Xo#B#i2 zHBwtEmm-`vVoBz&@h5IU{~Nv8VW|5SunqFuWpnFV`UNfZnAy=N>q@UF0+9`W{+j0GuUBE*7z74_mKLcfZd zB}y3+C0AF`*4TTt;wFktGCogemSoD92(h4FMa_bK6*UXay{qaf+FCebi7p+npkGDJ zf_@b>d#>UY{!GR!NOh8$TP44&TUTDQMCfPGEK#bHC>aapo@_Nsge^xb(Y7NNITfGr#wM2wik~vqxBk$R2i3qVobB|hu5n;;_OSJ8X1y3~$ zwZ(#d2F-$nCs$|i^R6S7XwMM~`WZ9}`WZ9}mY$3Shq}G*7UW!M7+8+?(h*Da$`K2W z9kJlV5erTovEa2M7MwX^!MP(ATsUIEr6U$xIby-JBUWgYKXeFKkjfvmsvd~2ZpmH` zMA&e|lH7E}g1I9Wr1FQl!h&s&T0IbICI2;bKSmm3obm0Jrgb+u|!vn zSa9u#6}EMwMQ9d0FfkaORcKjAw11^ z5HU+~C$lIPEQA+s!LB3rT-eL_6uMckFD=B9JaEKQ;w<1xLD7TP%lg>4+tI zrCTLq!EtWMSdu4&A(rS=`ot}G?T9@V`ls&Ag7d=KVo6>oOT)l|OW}oEkn$UfV$X%^ z+>)&*hw5g?62&Uns%q5Sf_00U1sjf7u<3{eb4M)La>Rmu2F-$}9>szkM=V%4V!^H> z7VJ4#Dc4wb7U4=dlV~tB_fIi53)jN7W8-3%z_O|_R1K-rX!YU z?uZ3jj##kmhy_pOwIeLpam0d!w4zwB>xcz=j##jNV98j}-&HdUmVQK7aOiEZ;K-v` z@Y17L@X8Sjj&=LQEjaNgR-c7`TcGe;~qcf^7VM=ZE>#DXikUYP~`8;8vb zUuPc0f(M3X!Mb&27Hl|TLC#gpEtorE!ImQyq+*4VvEXTGZLN-lup_M~mS}Nchy}aC z3%6iTT8KRt_Ps3@95`Z0E_D@u=oTDG-*>Bz7rq{OGFH!ItPq8UfhCzj6o*xL3gK8< zXyqw{6QPo^x~RUMmWEi`UfZSKEI5-^G8UZcR)>HE7oLng7cM;+OLXOk1=o&P;i+!u z84Dg5ng#2QSg_%U1)GjoFfZxKEZFiW7Hn%K6~%(=LWl)Bj##iLEQ$rY9>sz^M=aQP z#DW7yELb{X!J#7-964gaOGhktRoXDH;8?dBGZxh2AV-7+r&&o93toFN7MwX^!MP(A zTsUIEr6U$xIby-JBUbp8ZnPN8f(ODAx97sT@X+nCupz9u)vEid=}|0gbB|()wj8lU z+qzZHSn$*lOV1tMs%I=%IATfe>h_6SuqV85tIO9{eNV=o3kSM=>z3qFsQqHkghSox zh_J`Pk#4nLEMvZO#O?{N9I@b77c6y!1t+={V(DrsR99H=+7V0g%n=LDb^D83a3Q>K z`zPU2_}1;YaOH?S6Rvfu9btuE>juQCtFI3X&4P7DEZA_wf=x#(m^)&@mLnEyJ7U38 zM=aQJ#Daw*7VJ7=!JZ=)>^ow?fg=_y9kJlh5etqSvEXHv{cFuFc;!(nICjK>6GtpK zb;N?#g>{7mXCB3Zb4M(=aKwU3M=ZEfNd}Dy3$8ti6@DYq88izX7@7s^j##jflWZ1j zI$}ZH5XFKmM=aQO#Db@eSg_-W1q(+k*mcB$Jx46qcf^7NM=V(C_N`lRm>XixgcMLv z6boKT>kzE0g7C@_OWUy{7MwU@!Kot_ymrKbGe;~qcf^8xbBy+j1^MQf6Sts0lQDZN z^k*_=742kt+NHMDGnvkT^=y`C-4P2m9I;^25ew#ySg>WIG7GjniUm&{v7q*-VVDIA zZ;J)H9>sz^k7B{TN3q}_%jpUWmLA1|Lpxrx;K-v`@X`?rUO8gHvF{fPPCSYQr;b?g z+7S!R9I@cs5exbmGz%_0iUs`)ng!P$#R{#8fsPjo9yFOruWcZ#Te8RmdM=VGc1MLV4c5HIYf`#u03v#ZMj0Jm+Sg`Mi1qY5;uyn+NL*Ee= z964e^iW6u@Sn$fDRK&+zQ9T=JgsX0({3;I>mD-j6&Dr%N!?rp29=yxqgEYY?j z7Ce<#&sdPVst^kn(n2iQ)tp_p1-Z8gvFAc+4k#H5dbt6!B$wV57UX)VWGpyx#DbU7 ziekYl;h|e_>`|xcz=-W3+?dlU-}JcCrxV!=~KEZA|xf`ua%>^fq>o+B3QJ7U3s zBNi+jvEWd*7jD6kBlcW)sYgpivEY>>798uZo&U`(ILTW28@<_o7EZk@EO_mRC3#lb zezD+Ow{P8o3rFmka9MCd&4Mf8h1)&hT3JG@+WsIS84Dg5npN@-bw@1NaKwU5M=Y2- zV!@Up7Hm6W!Ba;p*m1;yg(DX1mJHG?*z+hB>^ow?fg=_y9kJlh5etqSvEZd67QC_* zYZe@P6bnuqvEbAZ3tl^7!I>i#oR@Y)Sa9J{EVy*Uf-6TXxOT)Qh}}ETKiPi$U+TJf gXHQ>f~Kf313+yDRo literal 0 HcmV?d00001