Update CPLD to v6.5: Add new mux option in 6 bit mode using the Vsync input for monochrome video

pull/110/head
IanSB 2019-11-10 02:30:51 +00:00
rodzic aa111afd83
commit c3d1ac43de
4 zmienionych plików z 254 dodań i 238 usunięć

Plik binarny nie jest wyświetlany.

Wyświetl plik

@ -1,5 +1,5 @@
Programmer Jedec Bit Map
Date Extracted: Sun Mar 31 16:30:09 2019
Date Extracted: Sun Nov 10 00:51:53 2019
QF46656*
QP44*
@ -60,11 +60,11 @@ L0000384 000000 000000 000000 000010*
L0000408 000000 000000 000000 001000*
L0000432 00000000 00000000 00000000 00000000*
L0000464 00000000 00000000 00000000 00100000*
L0000496 00000000 00000000 01000000 00010000*
L0000496 00000000 00000000 00000000 00010000*
L0000528 00000000 00000000 00000000 00010000*
L0000560 00000000 00000000 00000000 00000100*
L0000592 10000000 00000000 00000000 00000000*
L0000624 00000000 00000000 00010000 00001000*
L0000592 10000000 00000000 10000000 00000000*
L0000624 00000000 00000000 00000000 00001000*
L0000656 00000000 00000000 00000000 00000000*
L0000688 00000000 00000000 00000000 01000000*
L0000720 000000 000000 000000 011011*
@ -94,8 +94,8 @@ L0001360 00000000 00000000 00000000 00000000*
L0001392 00000000 00000000 00000000 00000000*
L0001424 00000000 00000000 00000000 00000000*
L0001456 00000000 00000000 00000000 00000000*
L0001488 00001000 10000000 10000000 00000000*
L0001520 00000000 00000000 00000000 00000000*
L0001488 00001000 00000000 10000000 00000000*
L0001520 00000000 10000000 00000000 00000000*
L0001552 00000000 00000000 00000000 00000000*
L0001584 000000 000000 000000 000000*
L0001608 100000 000000 000000 000000*
@ -118,15 +118,15 @@ L0002064 000000 000000 000000 100000*
L0002088 000000 000000 000000 000001*
L0002112 000000 000000 000000 100000*
L0002136 000000 000000 000000 000000*
L0002160 01000000 01000000 01000000 00110000*
L0002192 00000000 00000000 01000000 00111000*
L0002224 00000000 00000000 00000000 00110100*
L0002160 01000000 01000000 00001000 00110000*
L0002192 00000000 00000000 00000000 00111000*
L0002224 00000000 00000000 00001000 00110100*
L0002256 00000000 00000000 00000000 00010100*
L0002288 00000000 00000000 00000000 00000100*
L0002320 00000000 00000000 10000000 00100000*
L0002320 00000000 00000000 00000000 00100000*
L0002352 00000000 00000000 00000000 01000000*
L0002384 00000100 00000000 00000000 01001000*
L0002416 00000000 00000000 00000000 01001000*
L0002416 00000000 00000000 00001000 01001000*
L0002448 000000 000000 000000 011011*
L0002472 000000 000000 000000 000000*
L0002496 000000 000000 000000 001001*
@ -139,13 +139,13 @@ L0002656 00000000 00000000 00000000 00000000*
L0002688 00000001 00000000 00000000 00000000*
L0002720 00000010 00000000 00000000 00000000*
L0002752 00001000 00000000 00000000 00000000*
L0002784 00000110 00000000 10001100 00000000*
L0002816 00000011 00000000 00010000 00000000*
L0002784 00000110 00000000 00000000 00000000*
L0002816 00000011 00000000 00000000 00000000*
L0002848 00000000 00000000 00000000 00000000*
L0002880 000000 000000 000000 000000*
L0002904 000000 000000 000000 000000*
L0002928 000010 000000 000010 000000*
L0002952 000000 000000 001000 000000*
L0002928 000010 000000 000000 000000*
L0002952 000000 000000 000000 000000*
L0002976 000000 000000 000000 000000*
L0003000 000000 000000 000000 000000*
L0003024 00000010 00000000 00000000 00000000*
@ -153,46 +153,46 @@ L0003056 00000000 00000000 00000000 00000000*
L0003088 00000000 00000000 00000000 00000000*
L0003120 00000001 00000000 00000000 00000000*
L0003152 00000010 00000000 00000000 00000000*
L0003184 00000111 01000000 10001100 00000000*
L0003216 00000010 00000000 00010000 00000000*
L0003184 00000111 01000000 01000000 00000000*
L0003216 00000010 00000000 01000000 00000000*
L0003248 00000000 00000000 00000000 00000000*
L0003280 00000000 00000000 00000000 00000000*
L0003312 000000 000000 000000 000000*
L0003336 000010 000000 000010 000000*
L0003360 000000 000000 001000 000000*
L0003336 000010 000000 000000 000000*
L0003360 000000 000000 000000 000000*
L0003384 000010 000000 000000 000000*
L0003408 000000 000000 000000 000000*
L0003432 000000 000000 000000 000000*
L0003456 00000000 00000000 00000000 00110000*
L0003488 00000000 00000000 00000000 00010000*
L0003520 00000000 00000000 00000000 00000100*
L0003552 00000000 00000000 00000000 00000100*
L0003584 00000000 00000000 00000000 01000000*
L0003616 00000000 00000000 00000000 00101000*
L0003648 00000000 00000000 00000000 01000000*
L0003680 00000000 00000000 00000000 01001000*
L0003712 00000000 00000000 00000000 00001000*
L0003456 00000000 00000000 00000000 00000000*
L0003488 00000000 00000000 00000000 00000000*
L0003520 00000000 00000000 00000000 00000000*
L0003552 00000000 00000000 00000000 00000000*
L0003584 00000000 00000000 00000000 00000000*
L0003616 00000000 00000000 00000000 00000000*
L0003648 00000000 00000000 00000000 00000000*
L0003680 00000000 00000000 00000000 00000000*
L0003712 00000000 00000000 00000000 00000000*
L0003744 000000 000000 000000 000000*
L0003768 000000 000000 000000 100000*
L0003792 000000 000000 000000 001000*
L0003816 000000 000000 000000 011000*
L0003768 000000 000000 000000 000000*
L0003792 000000 000000 000000 000000*
L0003816 000000 000000 000000 000000*
L0003840 000000 000000 000000 000000*
L0003864 000000 000000 000000 000000*
L0003888 00000000 00000000 00000000 10000100*
L0003920 00000000 00000000 00000000 00000100*
L0003952 00000000 00000000 00100000 00000000*
L0003984 00000000 00000000 00000000 01100000*
L0004016 00000000 00000000 00000000 00110000*
L0004048 00000000 00000000 00000000 01000000*
L0004080 00000000 00000000 00000000 00100000*
L0004112 00000000 00000000 00000000 00100100*
L0004144 00000000 00000000 00000000 00100100*
L0004176 000010 000000 000000 000100*
L0004200 000000 010000 010010 011000*
L0004224 000000 000000 010000 010000*
L0004248 000000 000000 000000 100000*
L0004272 000000 000000 000000 000010*
L0004296 000000 000000 000000 001000*
L0003888 00000000 00000000 00000000 00000000*
L0003920 00000000 00000000 00000000 00000000*
L0003952 00000000 00000000 00000000 00000000*
L0003984 00000000 00000000 00000000 00000000*
L0004016 00000000 00000000 00000000 00000000*
L0004048 00000000 00000000 00000000 00000100*
L0004080 00000000 00000000 00000000 00000000*
L0004112 00000000 00000000 00000000 00000000*
L0004144 00000000 00000000 00000000 00000000*
L0004176 000010 000000 000000 000000*
L0004200 000000 010000 000000 000000*
L0004224 000000 000000 000000 000000*
L0004248 000000 000000 000000 000000*
L0004272 000000 000000 000000 000000*
L0004296 000000 000000 000000 000000*
L0004320 00000000 00000000 00000000 01000000*
L0004352 00000000 00000000 00000000 01001000*
L0004384 00000000 00000000 00000000 01000000*
@ -219,7 +219,7 @@ L0004976 00000000 00000000 00000000 01000000*
L0005008 00000000 00000000 00000000 01000000*
L0005040 000000 000000 000000 010000*
L0005064 000000 000000 000000 000001*
L0005088 000000 000000 000000 000000*
L0005088 000000 000000 001000 000000*
L0005112 000000 000000 000000 000000*
L0005136 000000 000000 000000 000000*
L0005160 000000 000000 000000 000000*
@ -268,23 +268,23 @@ L0006384 001000 000000 000000 000000*
L0006408 000000 000000 000000 000100*
L0006432 000000 000000 000000 100100*
L0006456 000000 000000 000000 000101*
L0006480 00000010 00001000 00010000 00110010*
L0006512 00100000 00000000 00010000 00010000*
L0006480 00000010 00001000 10000000 00110010*
L0006512 00100000 00000000 10000000 00010000*
L0006544 00000000 00000000 00000000 00110101*
L0006576 00000000 00000000 00000000 00110101*
L0006608 00000001 00000000 00000000 00100110*
L0006640 00000000 00000000 00000100 00010010*
L0006672 00000000 00000000 00000000 01000010*
L0006640 00000000 00000000 00000000 00010010*
L0006672 00000000 00000000 00010000 01000010*
L0006704 00000000 00000000 00000000 01000000*
L0006736 00000000 00000000 00000000 01000000*
L0006768 000000 000000 000000 011001*
L0006792 000000 000000 000000 000000*
L0006816 000000 000000 000000 001000*
L0006840 001000 000000 000100 011000*
L0006840 001000 000000 100000 011000*
L0006864 001000 000000 000000 011001*
L0006888 000000 000000 000000 010000*
L0006912 00000001 00000000 00000011 00000001*
L0006944 00000001 00000000 00000001 00001001*
L0006912 00000001 00000000 00000001 00000001*
L0006944 00000001 00000010 00000001 00001001*
L0006976 00000001 00000000 00000011 00000010*
L0007008 00000001 00000000 00000011 00000010*
L0007040 00000000 00000000 00000010 00000001*
@ -298,14 +298,14 @@ L0007248 000000 000000 000000 000000*
L0007272 001000 000000 000000 000000*
L0007296 000000 000000 000000 100000*
L0007320 000000 000000 000000 000000*
L0007344 00000010 00010000 00000000 00100010*
L0007376 00000000 00000000 00000000 00000000*
L0007344 00000010 00010000 00000010 00100010*
L0007376 00000000 00000000 00000010 00000000*
L0007408 00000000 00000000 00000000 01000001*
L0007440 00000000 00000000 00000000 00000000*
L0007472 00000001 00000000 00000000 00000010*
L0007504 00000001 00000000 00000000 00000010*
L0007472 00000001 00000000 00000001 00000010*
L0007504 00000001 00000000 00000001 00000010*
L0007536 00000000 00000000 00000000 01000010*
L0007568 00000001 00000000 00000000 01110011*
L0007568 00000001 00000000 00000001 01110011*
L0007600 00000000 00000000 00000000 01100000*
L0007632 000000 000000 000000 010000*
L0007656 000000 000000 000000 000000*
@ -328,29 +328,29 @@ L0008112 000000 000000 000000 010000*
L0008136 000000 000000 000000 000100*
L0008160 000000 000000 000000 000100*
L0008184 000101 000000 000000 000000*
L0008208 00010010 00000011 00000011 00000110*
L0008240 10011110 00000001 00000000 00001010*
L0008208 00010010 00000011 01000011 00000110*
L0008240 10011110 00000001 01000000 00001010*
L0008272 10000010 00000011 00000011 00000011*
L0008304 00000111 00000011 00000011 10000010*
L0008336 00010011 00000011 00000010 10000010*
L0008336 00010011 00000011 00000011 10000010*
L0008368 00000010 00010011 00000010 00000011*
L0008400 00001000 00000011 00000011 00000010*
L0008432 00000101 00000011 00000010 00000111*
L0008432 00000101 00000011 01000010 00000111*
L0008464 00000000 00000011 00000001 00010000*
L0008496 000010 000000 000000 000100*
L0008520 000000 000000 000100 011000*
L0008544 000100 000000 001100 000000*
L0008520 000000 000000 000010 011000*
L0008544 000100 000000 000000 000000*
L0008568 000100 000000 000000 000000*
L0008592 000100 000000 000000 000000*
L0008616 001000 000000 000000 000100*
L0008640 00000000 00000000 00000000 00000001*
L0008640 00000000 00000000 00000001 00000001*
L0008672 00000001 00000000 00000000 00001000*
L0008704 00001001 00000000 00000000 00000000*
L0008704 00001001 00000000 00000001 00000000*
L0008736 00000100 00000000 00000001 10000000*
L0008768 00011100 00000000 00000000 00000001*
L0008800 00010000 00000000 00000000 00000000*
L0008832 00000001 00000000 00000001 00000001*
L0008864 00000010 00000000 00000000 00010000*
L0008864 00000010 00000000 00000010 00010000*
L0008896 00001101 00000000 00000001 00000001*
L0008928 000010 000000 000000 000000*
L0008952 000000 000000 000000 000000*
@ -358,7 +358,7 @@ L0008976 000000 000000 000000 000000*
L0009000 000000 000000 000000 000100*
L0009024 000100 000000 000000 100000*
L0009048 000101 000000 000000 000000*
L0009072 00001101 00000000 00000000 00000010*
L0009072 00001101 00000000 00000010 00000010*
L0009104 10000000 00000000 00000000 01000001*
L0009136 10010100 00000000 00000000 01000001*
L0009168 00011001 00000000 00000000 00000010*
@ -390,47 +390,47 @@ L0009888 000000 000000 000000 000001*
L0009912 000000 000000 000000 000000*
L0009936 00000001 00000000 00000000 00000001*
L0009968 00000001 00000000 00000000 00000000*
L0010000 00000000 00000000 00000000 00000000*
L0010000 00000000 00000000 00100000 00000000*
L0010032 00000000 00000000 00000000 00000010*
L0010064 00000000 00000000 00000000 00000010*
L0010096 00000000 00001000 00000000 00000010*
L0010128 00000000 00000000 00000000 00000010*
L0010096 00000000 00001000 00000100 00000010*
L0010128 00000000 00000000 00000001 00000010*
L0010160 00000000 00000000 00000000 00000010*
L0010192 00000000 00000000 00000000 00000010*
L0010224 000000 000000 000000 000000*
L0010248 000000 000000 000000 000000*
L0010272 000000 000000 000000 000000*
L0010248 000000 000000 010000 000000*
L0010272 000000 000000 010000 000000*
L0010296 000000 000000 000000 000000*
L0010320 000000 000000 000000 000000*
L0010344 000000 000000 000000 000001*
L0010368 00000000 00000000 00000000 00000001*
L0010368 00000000 00000000 00000010 00000001*
L0010400 00000000 00000000 00000000 10000001*
L0010432 00000001 00000000 00000000 00000000*
L0010464 00000001 00000000 00000000 00000000*
L0010464 00000001 00000000 00000001 00000000*
L0010496 00000001 00000000 00000000 00000001*
L0010528 00000000 00000000 00000000 00000000*
L0010560 00000001 00000000 00000000 00000001*
L0010560 00000001 00000000 00000001 00000001*
L0010592 00000000 00000000 00000000 00000000*
L0010624 00000001 00000000 00000000 00000001*
L0010624 00000001 00000000 00000001 00000001*
L0010656 000000 000000 000000 000000*
L0010680 000000 000000 000000 000000*
L0010704 000000 000000 000000 000000*
L0010728 000000 000000 000000 000000*
L0010752 000000 000000 000000 000000*
L0010776 000000 000000 000000 000000*
L0010800 00001010 00000000 10000000 00000010*
L0010832 00001000 00000000 10000000 00000001*
L0010800 00001010 00000000 00000010 00000010*
L0010832 00001000 00000000 00000010 00000001*
L0010864 00000000 00000000 00000000 10000001*
L0010896 00000001 11111100 00000000 00000001*
L0010928 00000001 00000000 00000000 00000000*
L0010960 00000001 00000000 00001000 00000001*
L0010992 00000000 00000000 00000000 00000000*
L0011024 00000001 00000000 00000000 00000001*
L0010928 00000001 00000000 00000001 00000000*
L0010960 00000001 00000000 00101000 00000001*
L0010992 00000000 00000000 00100000 00000000*
L0011024 00000001 00000000 10000001 00000001*
L0011056 00000000 01111100 00000000 00000000*
L0011088 000000 000000 000000 000000*
L0011112 010000 000000 000000 000000*
L0011136 000000 000000 000000 000000*
L0011160 000000 000000 100000 000000*
L0011160 000000 000000 000000 000000*
L0011184 000000 111111 000000 000000*
L0011208 000000 000000 000000 000000*
L0011232 00000011 00000011 00000011 00000011*
@ -468,7 +468,7 @@ L0012128 00000000 00000000 00000000 00000000*
L0012160 00000000 00000000 00000000 00000000*
L0012192 00000000 00000000 00000000 00000000*
L0012224 00000000 00000000 00000000 00000000*
L0012256 00000000 00000000 00000000 00000000*
L0012256 00000000 10000000 00000000 00000000*
L0012288 00000000 00000000 00000000 00100000*
L0012320 00000000 00000000 00000000 00000000*
L0012352 00000000 00000000 00000000 00000000*
@ -483,8 +483,8 @@ L0012560 00000000 00000000 00000000 00000000*
L0012592 00000000 00000000 00000000 00000000*
L0012624 00000000 00000000 00000000 00000000*
L0012656 00000000 00000000 00000000 00100000*
L0012688 00000000 10000000 00000000 00000000*
L0012720 00000000 10000000 00000000 00000000*
L0012688 00000000 00000000 00000000 00000000*
L0012720 00000000 00000000 00000000 00000000*
L0012752 00000000 00000000 00000000 00000000*
L0012784 00000000 00000000 00000000 00000000*
L0012816 000000 000000 000000 000000*
@ -508,19 +508,19 @@ L0013296 000000 000000 000000 000001*
L0013320 000000 000000 000000 000000*
L0013344 000000 000000 000000 000000*
L0013368 000000 000000 000000 000000*
L0013392 00000000 00000000 00000000 00000000*
L0013424 00000000 00000000 00000000 00000000*
L0013456 00000000 00000000 00000000 00000000*
L0013392 00000000 00000000 00010000 00000000*
L0013424 00000000 00000000 00010000 00000000*
L0013456 00000000 00000000 01000000 00000000*
L0013488 00000000 00000000 00000000 00000000*
L0013520 00001000 00000000 00000000 00000000*
L0013552 00000000 00000000 01000000 00000000*
L0013584 00000000 00000000 01000000 00000000*
L0013552 00000000 00000000 00000000 00000000*
L0013584 00000000 00000000 00000000 00000000*
L0013616 00000000 00000000 00000000 00000000*
L0013648 00000000 00000000 00000000 00000000*
L0013680 000000 000000 000000 000000*
L0013704 000000 000000 000000 000000*
L0013728 000000 000000 000000 000000*
L0013752 000000 000000 010000 000001*
L0013752 000000 000000 000000 000001*
L0013776 000000 000000 000000 000000*
L0013800 000000 000000 000000 000000*
L0013824 00000000 00000000 00000000 00000000*
@ -570,17 +570,17 @@ L0015072 000000 000000 000000 000000*
L0015096 000000 000000 000000 000000*
L0015120 00000000 00000000 00000000 00000000*
L0015152 00000000 00000000 00000000 00000000*
L0015184 00000000 00000000 00010000 00000000*
L0015184 00000000 00000000 00000000 00000000*
L0015216 00000000 00000000 00000000 00000000*
L0015248 00000000 00000000 00000000 00000000*
L0015280 00100000 00000000 00100000 00000000*
L0015312 00000000 00000000 00100000 00000000*
L0015280 00100000 00000000 00000000 00000000*
L0015312 00000000 00000000 00000000 00000000*
L0015344 00000000 00000000 00000000 00000000*
L0015376 00000000 00000000 00000000 00000000*
L0015408 000000 000000 000000 000000*
L0015432 000000 000000 000000 000000*
L0015456 000000 000000 000000 000000*
L0015480 000000 000000 000000 000000*
L0015432 000000 000000 000100 000000*
L0015456 000000 000000 000100 000000*
L0015480 000000 000000 010000 000000*
L0015504 000000 000000 000000 000000*
L0015528 000000 000000 000000 000000*
L0015552 00000000 00000000 00000000 00000000*
@ -691,48 +691,48 @@ L0018552 000000 000000 000000 000000*
L0018576 00000000 00000000 00000000 00000000*
L0018608 00000000 00000000 00000000 00000000*
L0018640 00000000 00000000 00000000 00000000*
L0018672 11000000 00000000 00000000 00000000*
L0018672 00000000 00000000 00000000 00000000*
L0018704 00000000 00000000 00000000 00000000*
L0018736 00000000 00000000 00000000 00000000*
L0018768 00000000 00000000 00000000 00000000*
L0018800 00000000 00000000 00000000 00000000*
L0018832 11000000 00000000 00000000 10000000*
L0018832 00000000 00000000 00000000 00000000*
L0018864 000000 000000 000000 000000*
L0018888 000000 000000 000000 000000*
L0018888 000010 000000 000000 000000*
L0018912 000000 000000 000000 000000*
L0018936 000000 000000 000000 000000*
L0018960 110000 000000 000000 000000*
L0018960 000000 000000 000000 000000*
L0018984 000000 000000 000000 000000*
L0019008 00000000 00000000 00000000 00000000*
L0019040 00100000 00000000 00000000 00000000*
L0019072 00000000 00000000 00000000 00000000*
L0019104 00000000 00000000 00000000 00000000*
L0019136 00000000 00000000 00000000 00000000*
L0019168 00000000 00000000 00000000 00000000*
L0019200 00000000 00000000 00000000 00000000*
L0019232 00000000 00000000 00000000 00000000*
L0019264 00000000 00000000 00000000 00000000*
L0019008 00000000 00000000 00000000 00110000*
L0019040 00100000 00000000 00000000 00010000*
L0019072 00000000 00000000 00000000 00000100*
L0019104 00000000 00000000 00000000 00000100*
L0019136 00000000 00000000 00000000 01000000*
L0019168 00000000 00000000 00000000 00101000*
L0019200 00000000 00000000 00000000 01000000*
L0019232 00000000 00000000 00000000 01001000*
L0019264 00000000 00000000 00000000 00001000*
L0019296 000000 000000 000000 000000*
L0019320 000000 000000 000000 000000*
L0019344 000000 000000 000000 000000*
L0019368 001000 000000 000000 000000*
L0019320 000000 000000 000000 100000*
L0019344 000000 000000 000000 001000*
L0019368 001000 000000 000000 011000*
L0019392 001000 000000 000000 000000*
L0019416 000000 000000 000000 000000*
L0019440 00100011 00000011 00000011 00000011*
L0019472 00000011 00000011 00000011 00000011*
L0019440 00100011 00000011 00000011 10000111*
L0019472 00000011 00000011 00000011 00000111*
L0019504 00000011 00000011 00000011 00000011*
L0019536 00000011 00000011 00000011 00000011*
L0019568 00000011 00000011 00000011 00000011*
L0019600 00000011 00000011 00000011 00000011*
L0019632 00000011 00000011 00000011 00000011*
L0019664 00000011 00000011 00000011 00000011*
L0019696 00000011 00000011 00000011 00000011*
L0019728 000000 000000 000000 000000*
L0019752 001000 000000 000000 000000*
L0019776 001000 000000 000000 000000*
L0019800 000000 000000 000000 000000*
L0019824 000000 000000 000000 000000*
L0019848 000000 000000 000000 000000*
L0019536 00000011 00000011 00000011 01100011*
L0019568 00000011 00000011 00000011 00110011*
L0019600 00000011 00000011 00000011 01000011*
L0019632 00000011 00000011 00000011 00100011*
L0019664 00000011 00000011 00000011 00100111*
L0019696 00000011 00000011 00000011 00100111*
L0019728 000000 000000 000000 000100*
L0019752 001000 000000 000000 011000*
L0019776 001000 000000 000000 010000*
L0019800 000000 000000 000000 100000*
L0019824 000000 000000 000000 000010*
L0019848 000000 000000 000000 001000*
L0019872 00000011 00000000 00000011 00000011*
L0019904 10000001 00000010 00000011 00000001*
L0019936 10011101 00000000 00000011 00000011*
@ -749,13 +749,13 @@ L0020232 000100 000000 000000 000000*
L0020256 000100 000000 000000 000000*
L0020280 000100 000000 000000 000000*
L0020304 00011111 00000000 00000011 00000011*
L0020336 00011101 00000000 00000001 00000001*
L0020336 00011101 00000010 00000011 00000001*
L0020368 00000001 00000000 00000011 00000011*
L0020400 00000001 00000000 00000011 00000010*
L0020432 00011001 00000000 00000010 00000011*
L0020464 00000001 00000000 00000010 00000011*
L0020432 00011001 00000000 00000011 00000011*
L0020464 00000001 00000000 00000011 00000011*
L0020496 00000001 00000000 00000011 00000011*
L0020528 00000001 00000000 00000010 00000011*
L0020528 00000001 00000000 00000011 00000011*
L0020560 00001111 00000000 00000011 00000011*
L0020592 000000 000000 000000 000000*
L0020616 000101 000000 000000 000000*
@ -767,7 +767,7 @@ L0020736 00000011 00000011 00000011 00000011*
L0020768 00000011 00000001 00000000 00000011*
L0020800 00000011 00000011 00000011 00000011*
L0020832 00000011 00000011 00000011 00000010*
L0020864 00000011 00000011 00000010 00000011*
L0020864 00000011 00000011 00000011 00000011*
L0020896 00000010 00000011 00000010 00000011*
L0020928 00000001 00000011 00000011 00000011*
L0020960 00000001 00000011 00000010 00000011*
@ -778,14 +778,14 @@ L0021072 000000 000000 000000 000000*
L0021096 000000 000000 000000 000000*
L0021120 000000 000000 000000 000000*
L0021144 000000 000000 000000 000000*
L0021168 00000001 00000000 00000000 00000011*
L0021168 00000001 00000000 00000011 00000011*
L0021200 00000001 00000000 00000000 00000001*
L0021232 00000001 00000000 00000000 00000001*
L0021232 00000001 00000000 00000001 00000001*
L0021264 00000001 00000000 00000001 00000010*
L0021296 00000001 00000000 00000000 00000011*
L0021328 00000000 00000000 00000000 00000011*
L0021360 00000001 00000000 00000001 00000011*
L0021392 00000010 00000000 00000000 00000011*
L0021392 00000010 00000000 00000010 00000011*
L0021424 00000011 00000000 00000001 00000011*
L0021456 000000 000000 000000 000000*
L0021480 000000 000000 000000 000000*
@ -823,11 +823,11 @@ L0022368 000000 000000 000000 000000*
L0022392 000000 000000 000000 000000*
L0022416 000100 000000 000000 000000*
L0022440 000000 000000 000000 000000*
L0022464 00000001 00000000 00000010 00000011*
L0022496 00000001 00000011 00000000 00000011*
L0022464 00000001 00000000 00000000 00000011*
L0022496 00000001 00000011 00000010 00000011*
L0022528 00000000 00000000 00000000 00000000*
L0022560 00000000 00000000 00000000 00000000*
L0022592 00000011 00000011 00000010 00000011*
L0022592 00000011 00000011 00000000 00000011*
L0022624 00000000 00000000 00000000 00000000*
L0022656 00000000 00000000 00000000 00000000*
L0022688 00000000 00000000 00000000 00000000*
@ -839,7 +839,7 @@ L0022824 000000 000000 000000 000000*
L0022848 000000 000000 000000 000000*
L0022872 000000 000000 000000 000000*
L0022896 00000011 00000001 00000011 00000000*
L0022928 00000011 00000011 00000011 00000000*
L0022928 00000011 00000011 00000001 00000000*
L0022960 00000000 00000000 00000000 00000000*
L0022992 00000000 00000000 00000000 00000000*
L0023024 00000011 00000011 00000011 00000000*
@ -849,15 +849,15 @@ L0023120 00000000 00000000 00000000 00000000*
L0023152 00000000 00000000 00000000 00000000*
L0023184 000000 000000 000000 000000*
L0023208 000000 001000 000000 000000*
L0023232 000000 000000 000000 000010*
L0023232 000000 000000 000000 000000*
L0023256 000000 000000 000000 000000*
L0023280 000000 000000 000000 000000*
L0023304 000000 000000 000000 000000*
L0023328 00000000 00000000 00000010 00000010*
L0023360 00000001 00000010 00000000 00000010*
L0023328 00000000 00000000 00000000 00000010*
L0023360 00000001 00000010 00000000 00000000*
L0023392 00000000 00000000 00000000 00000000*
L0023424 00000000 00000000 00000000 00000000*
L0023456 00000011 00000010 00000010 00000010*
L0023456 00000011 00000010 00000000 00000010*
L0023488 00000000 00000000 00000000 00000000*
L0023520 00000000 00000000 00000000 00000000*
L0023552 00000000 00000000 00000000 00000000*
@ -883,8 +883,8 @@ L0024096 000000 000000 000000 000000*
L0024120 000000 000000 000000 000010*
L0024144 000000 000000 000000 000000*
L0024168 000000 000000 000000 000000*
L0024192 00000000 00000000 00000000 00000011*
L0024224 00000000 00000010 00000000 00000011*
L0024192 00000000 00000000 00000001 00000011*
L0024224 00000000 00000010 00000001 00000011*
L0024256 00000000 00000000 00000000 00000000*
L0024288 00000000 00000000 00000000 00000000*
L0024320 00000010 00000010 00000000 00000010*
@ -902,10 +902,10 @@ L0024624 00000000 00000000 00000010 00000010*
L0024656 00000001 00000010 00000100 00000010*
L0024688 00000000 00000000 00000000 00000000*
L0024720 00000000 00000000 00000000 00000000*
L0024752 00000011 00000010 00000110 00000010*
L0024752 00000011 00000010 00010110 00000010*
L0024784 00000000 00000000 00000000 00000000*
L0024816 00000000 00000000 00000000 00000000*
L0024848 00000000 00000000 01000000 00000000*
L0024848 00000000 00000000 00000000 00000000*
L0024880 00000000 00000000 00000000 00000000*
L0024912 000000 000000 000000 000000*
L0024936 000100 000000 000000 000000*
@ -948,9 +948,9 @@ L0025952 10000001 00000010 00000000 00000010*
L0025984 10010000 00000000 00000000 00000000*
L0026016 00010000 00000000 00000000 00000000*
L0026048 00000011 00000010 00000010 00000010*
L0026080 00001100 10000000 10001100 00000000*
L0026080 00001100 00000000 10001100 00000000*
L0026112 00010100 10000000 10011100 00000000*
L0026144 00010000 00000000 00010000 00000000*
L0026144 00010000 10000000 00010000 00000000*
L0026176 00010000 00000000 00000000 00000000*
L0026208 000100 000000 000000 000000*
L0026232 000011 000000 000010 000000*
@ -958,11 +958,11 @@ L0026256 000011 000000 001010 000000*
L0026280 000011 000000 001000 000000*
L0026304 000001 000000 000000 000000*
L0026328 000001 000000 000000 000000*
L0026352 00000000 00000000 00000000 00000001*
L0026352 00000000 00000000 00000010 00000001*
L0026384 00000001 00000010 00000000 00000001*
L0026416 00000000 00000000 00000000 00000000*
L0026448 00000000 00000000 00000000 00000000*
L0026480 00000001 00000010 00000000 00000011*
L0026480 00000001 00000010 00000010 00000011*
L0026512 00010000 00000000 00000000 00000000*
L0026544 00000000 00000000 00000000 00000000*
L0026576 00000000 00000000 00000000 00000000*
@ -973,11 +973,11 @@ L0026688 000000 000000 000000 000000*
L0026712 000000 000000 000000 000000*
L0026736 000000 000000 000000 000000*
L0026760 001000 000000 000000 000000*
L0026784 00000000 00000001 00000011 00000000*
L0026784 00000000 00000000 00000011 00000000*
L0026816 00000011 00000000 00000001 00000000*
L0026848 00000000 00000010 00000000 00000000*
L0026880 00000000 00000000 00000000 00000000*
L0026912 00000011 00000001 00000010 00000011*
L0026912 00000011 00000000 00000010 00000011*
L0026944 00000000 00000000 00000000 00000000*
L0026976 00000000 00000000 00000000 00000000*
L0027008 00000000 00000000 00000000 00000000*
@ -1020,14 +1020,14 @@ L0028032 000000 000000 000000 000000*
L0028056 000000 000000 000000 000000*
L0028080 00010000 00000000 00000010 00000001*
L0028112 10010010 00000000 00001001 00000001*
L0028144 10010000 00000000 10000000 00000000*
L0028144 10010000 00000000 00000000 00000000*
L0028176 00010000 00000000 00000000 00000000*
L0028208 00000010 00000000 00001010 00000011*
L0028240 00000000 00000000 00000000 00000000*
L0028272 00000000 00000000 00000000 00000000*
L0028304 00000000 00000000 00000000 00000000*
L0028336 00000000 00000000 00000000 00000000*
L0028368 000000 000000 000000 000000*
L0028368 000000 000000 001000 000000*
L0028392 000000 000000 000000 000000*
L0028416 000000 000000 000000 000000*
L0028440 000000 000000 000000 000000*
@ -1050,24 +1050,24 @@ L0028896 000000 000000 000000 000000*
L0028920 000000 000000 000000 000000*
L0028944 00000010 00000000 00000010 00001000*
L0028976 00000000 00000001 00000000 00000000*
L0029008 00000000 00000000 00000000 00000000*
L0029008 00000000 00000000 10010000 00000000*
L0029040 00000000 00000000 00000000 00000000*
L0029072 00000000 00000001 00000010 00000000*
L0029104 00000000 00000000 00000000 00000000*
L0029136 00000000 00000000 00000000 00000000*
L0029168 00000000 00000000 00000000 00000000*
L0029168 00000000 00000000 00101000 00000000*
L0029200 00000000 00000000 00000000 00000000*
L0029232 000000 000000 000000 000000*
L0029256 000000 000000 000000 000000*
L0029280 000000 000000 000000 000000*
L0029304 000000 000000 000000 000000*
L0029304 000000 000000 000110 000000*
L0029328 000000 000000 000000 000000*
L0029352 000000 000000 000000 000000*
L0029376 00000010 00000010 00000000 00000000*
L0029376 00000010 00000011 00000000 00000000*
L0029408 00000011 00000010 00000001 00000000*
L0029440 00000000 00000000 00000000 00000000*
L0029472 00000000 00000000 00000000 00000000*
L0029504 00000011 00000010 00000000 00000000*
L0029504 00000011 00000011 00000000 00000000*
L0029536 00000000 00000000 00000000 00000000*
L0029568 00000000 00000000 00000000 00000000*
L0029600 00000000 00000000 00000000 00000000*
@ -1078,11 +1078,11 @@ L0029712 000000 000000 000000 000000*
L0029736 000000 000000 000000 000000*
L0029760 000000 000000 000000 000000*
L0029784 000000 000000 000000 000000*
L0029808 00000011 00000000 00000000 00000010*
L0029808 00000010 00000000 00000000 00000010*
L0029840 00000010 00000000 00000000 00000010*
L0029872 00000100 00000000 00000000 00000000*
L0029872 00000101 00000000 00000000 00000001*
L0029904 00000000 00000000 00000000 00000000*
L0029936 00000001 00000000 00000000 00000000*
L0029936 00000000 00000000 00000000 00000000*
L0029968 00000000 00000000 00000000 00000000*
L0030000 00000000 00000000 00000000 00000000*
L0030032 00000000 00000000 00000000 00000000*
@ -1108,11 +1108,11 @@ L0030576 000000 000000 000000 000000*
L0030600 000000 000000 000000 000000*
L0030624 000000 000000 000000 100000*
L0030648 000000 000000 000000 000000*
L0030672 00000000 00000101 00000000 00100001*
L0030704 00000001 00000001 00000000 00000001*
L0030736 00000010 00000000 00000000 00000010*
L0030672 00000010 00000101 00000001 00100001*
L0030704 00000001 00000001 00000001 00000001*
L0030736 00000000 00000000 00000000 00000000*
L0030768 00000000 00000000 00000000 00000000*
L0030800 00000001 00000001 00000000 00000001*
L0030800 00000011 00000001 00000001 00000001*
L0030832 00000000 00000000 00000000 00000000*
L0030864 00000000 00000000 00000000 01000000*
L0030896 00000000 00000000 00000000 01000100*
@ -1123,11 +1123,11 @@ L0031008 000000 000000 000000 001000*
L0031032 000000 000000 000000 011000*
L0031056 000000 000000 000000 011100*
L0031080 000000 000000 000000 010000*
L0031104 00000010 00000000 00000000 00000000*
L0031136 00000010 00000000 00000000 00000000*
L0031104 00000010 00000000 00000000 00000010*
L0031136 00000010 00000000 00000000 00000010*
L0031168 00000000 00000000 00000000 00000000*
L0031200 00000000 00000000 00000000 00000000*
L0031232 00000010 00000000 00000000 00000001*
L0031232 00000010 00000000 00000000 00000011*
L0031264 00000000 00000000 00000000 00000000*
L0031296 00000000 00000000 00000000 00000000*
L0031328 00000000 00000000 00000000 00000000*
@ -1139,7 +1139,7 @@ L0031464 000000 000000 000000 000000*
L0031488 000000 000000 000000 000000*
L0031512 000000 000000 000000 000000*
L0031536 00000000 00000000 00000000 00000000*
L0031568 00000000 00000000 00000001 00000001*
L0031568 00000000 00000000 00000000 00000001*
L0031600 00000000 00000000 00000000 00000000*
L0031632 00000000 00000000 00000000 00000000*
L0031664 00000010 00000000 00000000 00000001*
@ -1183,11 +1183,11 @@ L0032736 000000 000000 000000 000000*
L0032760 000000 000000 000000 000000*
L0032784 000000 000000 000000 000000*
L0032808 000000 000000 000000 000000*
L0032832 00000000 00000000 00000001 01000011*
L0032832 00000000 00000000 00000001 01000001*
L0032864 00000001 00000000 00000001 01001000*
L0032896 00000000 00000010 00000000 01000000*
L0032928 00000000 00000000 00000000 00000000*
L0032960 00000001 00000000 00000001 10010011*
L0032960 00000001 00000000 00000001 10010001*
L0032992 00000000 00000000 00000000 00000000*
L0033024 00000000 00000000 00000000 00000000*
L0033056 00000000 00000000 00000000 00000000*
@ -1214,33 +1214,33 @@ L0033624 000000 000000 000000 011000*
L0033648 000000 000000 000000 011000*
L0033672 000000 000000 000000 011000*
L0033696 00000010 00000010 00000010 00000010*
L0033728 00000010 00000000 00001100 00000010*
L0033728 00000010 00000000 00001110 00000010*
L0033760 00000000 00000000 00000000 00000000*
L0033792 00000000 00000000 00000000 00000000*
L0033824 00000000 00000000 00001100 00000000*
L0033824 00000000 00000000 10011100 00000000*
L0033856 00000000 00000000 00000000 00000000*
L0033888 00000010 00000010 00000010 00000010*
L0033920 00000000 00000000 00000000 00000000*
L0033952 00000000 00000000 00000000 00000000*
L0033984 000000 000000 000000 000000*
L0033920 00000000 00000000 00000100 00000000*
L0033952 00000000 00000000 00001000 00000000*
L0033984 000000 000000 001000 000000*
L0034008 000000 000000 000000 000000*
L0034032 000000 000000 000001 000000*
L0034056 000000 000000 000000 000000*
L0034080 000000 000000 000000 000000*
L0034104 000000 000000 000001 000000*
L0034104 000000 000000 000101 000000*
L0034128 00000000 00000000 00001100 00000000*
L0034160 00000000 00000000 00000000 00000000*
L0034192 00000000 00000000 00000000 00000000*
L0034192 00000000 00000000 10011100 00000000*
L0034224 00000000 00000000 00000000 01000000*
L0034256 00000000 00000000 00000000 01000000*
L0034288 00000000 10000000 00000000 00000000*
L0034288 00000000 00000000 00000000 00000000*
L0034320 00000000 00000000 00000000 00000000*
L0034352 00000000 00000000 00000000 00000000*
L0034352 00000000 00000000 00101000 00000000*
L0034384 00000000 00000000 00000000 00000000*
L0034416 001000 000000 000000 000000*
L0034440 000000 000000 000001 000000*
L0034464 000000 000000 000000 000000*
L0034488 000000 000000 000000 000000*
L0034488 000000 000000 000111 000000*
L0034512 000000 000000 000000 000000*
L0034536 000000 000000 000000 000000*
L0034560 00000000 00000000 00000000 00001000*
@ -1325,7 +1325,7 @@ L0036816 00000000 00000000 00000000 00000000*
L0036848 00000000 00000000 00000000 00000000*
L0036880 00000000 00000000 00000000 00010000*
L0036912 00000000 00000000 00000000 00010000*
L0036944 00000000 00000000 00000000 00010000*
L0036944 00000000 00000000 00000100 00010000*
L0036976 00000000 00000000 00000000 00010000*
L0037008 000000 000000 000000 000000*
L0037032 000000 000000 000000 000100*
@ -1335,7 +1335,7 @@ L0037104 000000 000000 000000 000000*
L0037128 000000 000000 000000 000000*
L0037152 00010000 00000000 00000000 00000000*
L0037184 10010000 00000000 11110000 00000000*
L0037216 10010000 00000000 00000000 00000000*
L0037216 10010000 00000000 00001100 00000000*
L0037248 00010000 00000000 00000000 00000000*
L0037280 00000000 00000000 00001100 00000000*
L0037312 00000000 00000000 00000000 00000000*
@ -1345,24 +1345,24 @@ L0037408 00000000 00000000 00000000 00000000*
L0037440 000000 000000 000000 000000*
L0037464 000000 000000 000000 000000*
L0037488 000000 000000 110100 000000*
L0037512 000000 000000 000000 000000*
L0037512 000000 000000 000001 000000*
L0037536 000000 000000 000000 000000*
L0037560 000000 000000 000001 000000*
L0037584 00000000 00000000 00000000 00000000*
L0037616 00000000 00000000 00000000 00000000*
L0037648 00000000 00000000 11111100 00000000*
L0037648 00000000 00000000 11110000 00000000*
L0037680 00000000 00000000 00000000 00000000*
L0037712 00000000 00000000 00000000 00000000*
L0037712 00000000 00000000 10010000 00000000*
L0037744 00000000 00000000 00000000 00000000*
L0037776 00010000 00000000 00000000 00000000*
L0037808 00000000 00000000 01100000 00000000*
L0037840 00000000 00000000 00000000 00000000*
L0037872 000000 000000 000000 000000*
L0037808 00000000 00000000 11101100 00000000*
L0037840 00000000 00000000 00001000 00000000*
L0037872 000000 000000 001000 000000*
L0037896 000001 000000 000000 000000*
L0037920 000000 000000 000000 000000*
L0037944 000000 000000 110101 000000*
L0037944 000000 000000 110110 000000*
L0037968 000000 000000 000000 000000*
L0037992 000000 000000 000000 000000*
L0037992 000000 000000 000100 000000*
L0038016 00000000 00000000 00000000 00000000*
L0038048 00000000 00000000 00000000 00000000*
L0038080 00000000 00000000 00000000 00000000*
@ -1412,9 +1412,9 @@ L0039312 00000000 00000000 00000000 00000000*
L0039344 00000000 00000000 00000000 00000000*
L0039376 00000000 00000000 00000000 00000000*
L0039408 00000000 00000000 00000000 00000000*
L0039440 00000100 00000000 00000000 00000000*
L0039440 00000100 00000000 10000000 00000000*
L0039472 00000000 00000000 00000000 00000000*
L0039504 00000000 00000000 00000000 00000000*
L0039504 00000000 10000000 00000000 00000000*
L0039536 00000000 00000000 00000000 00000000*
L0039568 00000000 00000000 00000000 00000000*
L0039600 000000 000000 000000 000000*
@ -1441,17 +1441,17 @@ L0040152 000000 000000 000000 000000*
L0040176 00000000 00000000 00000000 00000000*
L0040208 00000000 00000000 00000000 00000000*
L0040240 00000000 00000000 00000000 00000000*
L0040272 00000000 00000000 00000000 00000000*
L0040272 11000000 00000000 00000000 00000000*
L0040304 00000000 00000000 00000000 00000000*
L0040336 00000000 00000000 00000000 00000000*
L0040368 00000000 00000000 00000000 00000000*
L0040400 00000000 00000000 00000000 00000000*
L0040432 00000000 00000000 00000000 00000000*
L0040432 11000000 00000000 00000000 10000000*
L0040464 000000 000000 000000 000000*
L0040488 000010 000000 000000 000000*
L0040488 000000 000000 000000 000000*
L0040512 000000 000000 000000 000000*
L0040536 000000 000000 000000 000000*
L0040560 000000 000000 000000 000000*
L0040560 110000 000000 000000 000000*
L0040584 000000 000000 000000 000000*
L0040608 00000000 00000000 00000000 00000000*
L0040640 00000000 00000000 00000000 00000000*
@ -1475,27 +1475,27 @@ L0041136 00000000 00000000 00000000 00000000*
L0041168 00000000 00000000 00000000 00000000*
L0041200 00000000 00000000 00000000 10000000*
L0041232 00000000 00000000 00000000 00000000*
L0041264 00000000 00000000 00100000 00000000*
L0041264 00000000 00000000 00000000 00000000*
L0041296 00000000 00000000 00000000 00000000*
L0041328 000000 000000 000000 000000*
L0041352 000000 000000 000000 000000*
L0041376 000000 000000 000001 000000*
L0041400 000000 000000 000000 000000*
L0041424 000000 000000 000000 000000*
L0041448 000000 000000 000001 000000*
L0041448 000000 000000 000101 000000*
L0041472 00000000 00000000 00000000 00000000*
L0041504 00000000 00000000 00000000 00000000*
L0041536 00000000 00000000 00000000 00000000*
L0041568 00000000 00000000 00000000 00000000*
L0041600 00000000 00000000 00000000 00000000*
L0041632 00000000 00000000 00000000 00000000*
L0041664 00000000 10000000 00000000 00000100*
L0041696 00000000 00000000 00000000 00000000*
L0041664 00000000 00000000 10001100 00000100*
L0041696 00000000 10000000 00010000 00000000*
L0041728 00000000 00000000 00000000 00000000*
L0041760 000000 000000 000000 000000*
L0041784 000000 000000 000000 000000*
L0041808 000000 000000 000000 000000*
L0041832 000000 000000 000000 000010*
L0041808 000000 000000 000010 000000*
L0041832 000000 000000 001000 000010*
L0041856 000000 000000 000000 000000*
L0041880 000000 000000 000000 000000*
L0041904 00000000 00000000 00000000 00000000*
@ -1503,13 +1503,13 @@ L0041936 00000000 00000000 00000000 00000000*
L0041968 00000000 00000000 00000000 00000000*
L0042000 00000000 00000000 00000000 00000000*
L0042032 00000000 00000000 00000000 00000000*
L0042064 00000000 10000000 00000000 00000100*
L0042096 00000000 00000000 00000000 00000000*
L0042064 00000000 00000000 10001100 00000100*
L0042096 00000000 10000000 00010000 00000000*
L0042128 00001000 00000000 00000000 00000000*
L0042160 00000000 00000000 00000000 00000000*
L0042192 000000 000000 000000 000000*
L0042216 000000 000000 000000 000000*
L0042240 000000 000000 000000 000010*
L0042216 000000 000000 000010 000000*
L0042240 000000 000000 001000 000010*
L0042264 000000 000000 000000 000000*
L0042288 000000 000000 000000 000000*
L0042312 000000 000000 000000 000000*
@ -1558,9 +1558,9 @@ L0043536 000000 000000 000000 000000*
L0043560 000000 000000 000000 000000*
L0043584 000000 000000 000000 000000*
L0043608 000000 000000 000000 000000*
L0043632 00000000 00000000 00001000 00000000*
L0043632 00000000 00000000 00000000 00000000*
L0043664 00000000 00000000 00000000 00000100*
L0043696 00000000 00000000 00001000 00000000*
L0043696 00000000 00000000 00000000 00000000*
L0043728 00000000 00000000 00000000 00000000*
L0043760 00000000 00000000 00000000 00000000*
L0043792 00000000 00000000 00000000 00000000*
@ -1605,20 +1605,20 @@ L0044880 000000 000000 000000 000000*
L0044904 000000 000000 000000 000000*
L0044928 00000000 00000000 00000000 00000000*
L0044960 00000000 00000000 00000000 00000000*
L0044992 00000000 00000000 11111100 00000000*
L0044992 00000000 00000000 11110000 00000000*
L0045024 00000000 00000000 00000000 00000000*
L0045056 00000000 00000000 00000000 00000000*
L0045056 00000000 00000000 10010000 00000000*
L0045088 00000000 00000000 00000000 00000000*
L0045120 00000000 00000000 00000000 00000000*
L0045152 00000000 00000000 01100000 00000000*
L0045184 00000000 00000000 00000000 00000000*
L0045216 000000 000000 000000 000000*
L0045152 00000000 00000000 11101100 00000000*
L0045184 00000000 00000000 00001000 00000000*
L0045216 000000 000000 001000 000000*
L0045240 000000 000000 000000 000000*
L0045264 000000 000000 000000 000000*
L0045288 000000 000000 110101 000000*
L0045288 000000 000000 110110 000000*
L0045312 000000 000000 000000 000000*
L0045336 000000 000000 000000 000000*
L0045360 00000000 00000000 11110000 00000000*
L0045336 000000 000000 000100 000000*
L0045360 00000000 00000000 11111100 00000000*
L0045392 00000000 00000000 00001100 00000000*
L0045424 00000000 00000000 00000000 00000000*
L0045456 00000000 00000000 00000000 00000000*
@ -1628,7 +1628,7 @@ L0045552 00000000 00000000 00000000 00000000*
L0045584 00000000 00000000 00000000 00000000*
L0045616 00000000 00000000 00000000 00000000*
L0045648 000000 000000 000000 000000*
L0045672 000000 000000 110100 000000*
L0045672 000000 000000 110101 000000*
L0045696 000000 000000 000001 000000*
L0045720 000010 000000 000000 000000*
L0045744 000000 000000 000000 000000*
@ -1653,15 +1653,15 @@ L0046256 00000000 00000000 00000000 00000000*
L0046288 00000000 00000000 00000000 00000000*
L0046320 00000000 00000000 11111100 00000000*
L0046352 00000000 00000000 00000000 00000000*
L0046384 00000000 00000000 00000000 00000100*
L0046384 00000000 00000000 00000000 00000000*
L0046416 00000000 00000000 00000000 00000000*
L0046448 00000000 00000000 00000000 00000000*
L0046480 00000100 00000000 01100000 00000000*
L0046512 000000 000000 000000 000000*
L0046536 000000 000000 000000 000000*
L0046560 000000 000000 000000 000000*
L0046560 000000 000000 000000 000010*
L0046584 000000 000000 000000 000000*
L0046608 000000 000000 110101 000000*
L0046632 000000 000000 000000 000000*
CB343*
1F58
CC5AC*
1FC1

Wyświetl plik

@ -26,7 +26,7 @@ NET "version" LOC = "P33"; # input gpio18 (gsr)
NET "SW1" LOC = "P38"; # input gpio16 (connects to sw1)
NET "SW2" LOC = "P39"; # input gpio26 (connects to sw2)
NET "SW3" LOC = "P40"; # input gpio19 (connects to sw3)
NET "link" LOC = "P41"; # input (connects to link / test point)
NET "vsync" LOC = "P41"; # input (connects to vsync)
NET "spare" LOC = "P19"; # input gpio22
NET "mode7" LOC = "P42"; # input gpio25 (connects to LED2, driven from Pi)

Wyświetl plik

@ -39,10 +39,10 @@ entity RGBtoHDMI is
-- User interface
version: in std_logic;
SW1: in std_logic; -- currently unused
SW2: in std_logic; -- currently unused
SW3: in std_logic; -- currently unused
link: in std_logic; -- currently unused
SW1: in std_logic;
SW2: in std_logic;
SW3: in std_logic;
vsync: in std_logic;
spare: in std_logic; -- currently unused
LED1: in std_logic -- allow it to be driven from the Pi
);
@ -53,8 +53,8 @@ architecture Behavorial of RGBtoHDMI is
subtype counter_type is unsigned(7 downto 0);
-- Version number: Design_Major_Minor
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"064";
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD; 3= six bit CPLD (if required)
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"065";
-- Sampling points
constant INIT_SAMPLING_POINTS : std_logic_vector(23 downto 0) := "000000011011011011011011";
@ -125,12 +125,28 @@ architecture Behavorial of RGBtoHDMI is
signal G : std_logic;
signal B : std_logic;
signal R0M : std_logic;
signal G0M : std_logic;
signal B0M : std_logic;
signal R1M : std_logic;
signal G1M : std_logic;
signal B1M : std_logic;
begin
R <= R1 when mux = '1' else R0;
G <= G1 when mux = '1' else G0;
B <= B1 when mux = '1' else B0;
R0M <= vsync when mux = '1' else R0;
G0M <= vsync when mux = '1' else G0;
B0M <= vsync when mux = '1' else B0;
R1M <= vsync when mux = '1' else R1;
G1M <= vsync when mux = '1' else G1;
B1M <= vsync when mux = '1' else B1;
offset_A <= sp_reg(2 downto 0);
offset_B <= sp_reg(5 downto 3);
offset_C <= sp_reg(8 downto 6);
@ -262,7 +278,7 @@ begin
-- R Sample/shift register
if sample = '1' then
if rate = "01" then
shift_R <= R1 & R0 & shift_R(3 downto 2); -- double
shift_R <= R1M & R0M & shift_R(3 downto 2); -- double
else
shift_R <= R & shift_R(3 downto 1);
end if;
@ -271,7 +287,7 @@ begin
-- G Sample/shift register
if sample = '1' then
if rate = "01" then
shift_G <= G1 & G0 & shift_G(3 downto 2); -- double
shift_G <= G1M & G0M & shift_G(3 downto 2); -- double
else
shift_G <= G & shift_G(3 downto 1);
end if;
@ -280,7 +296,7 @@ begin
-- B Sample/shift register
if sample = '1' then
if rate = "01" then
shift_B <= B1 & B0 & shift_B(3 downto 2); -- double
shift_B <= B1M & B0M & shift_B(3 downto 2); -- double
else
shift_B <= B & shift_B(3 downto 1);
end if;
@ -321,7 +337,7 @@ begin
-- Output a skewed version of psync
if version = '0' then
psync <= link;
psync <= vsync;
elsif counter(counter'left) = '1' then
psync <= '0';
elsif counter(3 downto 0) = 3 then -- comparing with N gives N-1 cycles of skew