Route SW through CPLD to Pi

Change-Id: I467e7a5b4df797d0770dce132d007cfe2c63234f
issue_1022
David Banks 2017-05-25 15:12:09 +01:00
rodzic 392a95a4e5
commit 81720e677c
2 zmienionych plików z 4 dodań i 0 usunięć

Wyświetl plik

@ -16,6 +16,7 @@ NET "SW" LOC = "P18"; # input
NET "mode7" LOC = "P19"; # input
NET "sp_clk" LOC = "P1"; # input
NET "sp_data" LOC = "P2"; # input
NET "SWout" LOC = "P3"; # output
NET "quad(0)" LOC = "P37"; # output
NET "quad(1)" LOC = "P36"; # output

Wyświetl plik

@ -31,6 +31,7 @@ entity RGBtoHDMI is
quad: out std_logic_vector(11 downto 0);
psync: out std_logic;
csync: out std_logic;
SWout: out std_logic;
-- Test
SW: in std_logic;
@ -196,4 +197,6 @@ begin
LED2 <= led_counter(led_counter'left);
SWOut <= SW;
end Behavorial;