From 64e8a3eec66ba72906a9abf7175c617eca4c0c6c Mon Sep 17 00:00:00 2001 From: David Banks Date: Mon, 6 Jan 2020 15:22:53 +0000 Subject: [PATCH] vhdl_YUV: Pipeline the sample signals to reduce the product terms (v7.3) Change-Id: Icca14d8fd84bdf3fcca689bf8bad7c86774f6471 --- vhdl_YUV_6bit/RGBtoHDMI.vhdl | 24 +++++++++++--- vhdl_YUV_6bit/YUV_CPLD_v73.xsvf | Bin 0 -> 53289 bytes vhdl_YUV_6bit/fitting.notes | 55 ++++++++++++++++++++++++++++++++ 3 files changed, 75 insertions(+), 4 deletions(-) create mode 100644 vhdl_YUV_6bit/YUV_CPLD_v73.xsvf diff --git a/vhdl_YUV_6bit/RGBtoHDMI.vhdl b/vhdl_YUV_6bit/RGBtoHDMI.vhdl index 71ee3bdd..53197d51 100644 --- a/vhdl_YUV_6bit/RGBtoHDMI.vhdl +++ b/vhdl_YUV_6bit/RGBtoHDMI.vhdl @@ -49,7 +49,7 @@ architecture Behavorial of RGBtoHDMI is -- Version number: Design_Major_Minor -- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD, 3=YUV6847 CPLD - constant VERSION_NUM : std_logic_vector(11 downto 0) := x"372"; + constant VERSION_NUM : std_logic_vector(11 downto 0) := x"373"; -- NOTE: the difference between the leading and trailing offsets is -- 256 clks = 32 pixel clocks. @@ -122,6 +122,9 @@ architecture Behavorial of RGBtoHDMI is signal LH_S : std_logic; signal swap_bits : std_logic; + signal sample_L : std_logic; + signal sample_AB : std_logic; + signal HS_counter : unsigned(1 downto 0); begin @@ -265,9 +268,22 @@ begin LL2 <= LL1; LH2 <= LH1; + -- Pipeline the sample signals to reduce the product terms + if (subsam_C = '0' and counter(2 downto 0) = "111") or + (subsam_C = '1' and counter(3 downto 0) = "0011") then + sample_AB <= '1'; + else + sample_AB <= '0'; + end if; + + if counter(2 downto 0) = "111" then + sample_L <= '1'; + else + sample_L <= '0'; + end if; + -- sample colour signal - if (subsam_C = '0' and counter(2 downto 0) = "000") or - (subsam_C = '1' and counter(3 downto 0) = "0100") then + if sample_AB = '1' then AL <= AL_next; AH <= AH_next; BL <= BL_next; @@ -275,7 +291,7 @@ begin end if; -- sample luminance signal - if counter(2 downto 0) = "000" then + if sample_L = '1' then LL <= LL_next; LH <= LH_next; end if; diff --git a/vhdl_YUV_6bit/YUV_CPLD_v73.xsvf b/vhdl_YUV_6bit/YUV_CPLD_v73.xsvf new file mode 100644 index 0000000000000000000000000000000000000000..a281761e1706272a42307370f9a2f8075793c845 GIT binary patch literal 53289 zcmaLAO>ZOTmggA~nJK1|b}}=4{r(cNXeZNFI_Wo{3ysD^w%HhBAPo2sa)9~(wV1^$ zLzb@1xh+)bUVj0WFL8^gOH^^ui&@ez7hG_`ZJ{?+U7NxHGw4MxW`LQw^Z%U_AwEY^ zcOaFa-|swc@x;U6t9TCbN77C-K{{i=kFjaLiIqdon1ukoipX{TQs`t|?SZ?yL3`>p?6wHhk_@6`K}dTiE<)vb{_ zNtDtFwj*{T<`KIQdl8Q#_9GS%2N8!6PZHGl|HoX1HIi%Shpjq*hIDDd{@bgMOI2(p zO6_W=qURCE5if*y^uwmYm)a$DClRL+XA$QS7ZH~cR}t4bq@>?X*18&o4$Ed@t0FgN zqOPRovgCSu<&>8>77#J&w_S#3JG#;xOV#g4Imy zjWXSGgaM&~iQh|y)lm5wVJ z)jHxP!FndPYT)Kf?90~M^_j5Pu9JNxD8W|NNwJ+3DRyGvJYqMZ)_Npw3Nz!jM z6Ah``W};mG*JpxQGQjgVqOn>|q8H`s`w3p^)|=p@mEBKpT7>9qo8Ip<<{E1sWZBqdwISTRjuozsRyPSM>qtirLJHRwZ|1Qx(pu#dgDQHjA{+W?U1^ zb{V3bFi*|gTGW5GZ+2~GL`OXx`k@ne91gD8Uxt>8GT=bo74pqfwf>_eY`FSfH?^uQ2rldBtf1z3lUdleSm_;W#)}*PaFgf&DEIcnm z-G!n_%S+*I#WYuCz_p_9>xWIF+7vs~0Bt6=3OyjMts0ZoMBSv>dZ^V*Sgdv`icYW< zu^q7!F^||49_oipE%&rbT0V~0k61(;L>xxEWlh`}mA9>lJF*O#?fROqSTewKl{vJM zHvF-E-JSJ27ZERY<4U3vMU$qc5ofXPT=<24*fdXzEGv_yma?xB(x&K2y9C$5UlG!# z=%(CZ)7QkEtwJ}~#NEbTrY#$vS-9_%-gYvSGejerl-P*V8ue!!#s`)Tw^ zEc{s`6n?JgA!E>{e*58(%H2XX>1Ysf81a@had)I5SI4a1L3LB=@l&-ZuCED;?M}ws z^Sx|ucdG7=v+-38HFU9GrUSgxqw%EfL}O2eKUJ>@#x-$wu4qzsk&Tlja(Ahb*EeeQ zyNVds#NCae^%Btu<~nhgHF2OHwrUWXiG%&U=GFYvV^bAQhQ(?p6enxq;C`0Z4N>@k z<|!%sXg__3aB#HWOxDD~lUVq(Sopd6Nt){KModRV)+&?eAe*Jb7-szxZ&?!ux37tV zQ_X+1UG-ki1hJ$~*2G~|RwEiW!m(cLXX!j$s$x=iqThF?`9N!8KfzfnJl}7oO)c{D zl5?=sBHpcugSaLR)_Ln{5onMbP0Y15aj?z)xANwiXsOG3z}IKOVs-Fd)?!Wkd^HoT zSM+OwuL)0g(MLa}^VB-hSx*W-+3V~j_%ueJYfh6WYa+oyx8~g}wg!s+cGoZ0iKOsJ zxf_*EFt?5tYvPxx#-8tW>uBk`e^lE_>#DDiPl9}A}!WLGTzByxN z@k$Xp8boAG9O{Qnqq=2HymI@Rc;)P|y7leGOb|9M{Av%WQY@)X_?VPY!*p6O^>fb)rU{VAjMdTe+*- zfqn#SLkHxChm`PjlE?izRNQGv+c%A5KCJ9e!r6}k^8?%I?+7+Wipk*%kW_7 z{zOaUu{xT28x{A2gY%W>m)=;~aZR+_`L0hDepH0QABFYQ{v@2{_NU=dS^M+5>9Mx^&2+`I3k@zA zRa_J8xF*`StcmvRYodL6*vU$@t?kB45Igl46LTI;WPAKSg=4)a!T>L6a98)IX7+Cr z)O8|_{_Wi&#lMRa6W2t08E0`7J6dPynrPp$Cfc{JiB}tY-(KBj>Y+9{f_s_8Y9~Bs ze4E@lUcG-5@WG4p5yPwTx#+7$jSzjJUXzwTJ7^pv_`DIYukj?&A{_dwgNSiWyn4%; zcy*NDcExzGML@pa7C`myCgb$8SD+8{PHjD>Gm6R(Zb!qx3SwYBM9^tIA{v;S_)1hHg*=fa<@ zBVECSbO!eV<>6%{==+uhFywx+mohtC@H(DsOua>_Mq`wcVHrVo9s#Dwp6`*YLxt zswRdtkwoK~crb}kz2A`*p2g^Vnci(aSS<6j@G=%&MO;U`h1bW zSZsH2-13w2+M!|+ZAa`x%p-Oq_97lfjQ1U#BE0j{8K|dZ7{gfiBteazTSsRkcgswa znQlqG-IxhtNhf-{BkgmnT1hmniOyw=PO>t&`F5reXA$QS7qO{j#8op4Bfc-%*(CF% zf;JOdHE?q#9_p#|m939URk++(taic!Jp@QlFIFc@DVu56knc-mb3+izSCn$=wTx569&= zotTSenc`(PeXRFzl4WI5cUrX4re&AD!x%kDu$qZSBe|>Ffqn;d0Of9p-H9rhU6H6U35EzE^<+$Eua!UnNti0sb!HPwG4={D&C*W5mBxKS|+5>}VNr zC48YDHubyKE~(3!sG~ZQ+;=?Q%H5oa*Rx#Sx2xV&g;p)LYxVVJo_6wj>t(=p#7+^S zs+tV&^=`ztCSH$g;`O*DURT3uQ-g@CiDb;TtclleUlXsFH0;}rnIM+5dahy##x?Q! zMT}lXoJ5>PoJE{RTtr+(Tt!?*+$7laHSzjZ?&g}P-zigYw<1-AHDR&cq5le6Px;DjQ)L$F0!&7 zF~eBu6eLZpg!RA`U2D9%&sn{3%bIwDHBmo$*v-V7`b5puN~s&HX2N2%yO+JG=N-wx zy?H-8m3Z?(#77b1^Nu&4#OP-cOiVBVX-=>qIdWH*Q+AJRyIlce7l|fV~UUd`k#`6dpj@E0lwYUTa!u4y<(K& zasD#Jer#DSrO`ng#;{nV(UbB&T%it&>%`lm^1s|R6J&|4^@r z8#6&H8Q`z8y~g3Lld*o?J$8DBHId+N_Zs`Vd;NDNiY86*I_A$(Y?{ScmM0Gp-kHlL zby*V$E`_@l^A7KKd@RMLrdSiZ#}n^xov5*%39b|N4u=TTMYv+V zd)C;m=i>UBuvl{F--nMsy*pMTN%SJ(kKvy1-ARm2BhDhuBeEuvj+RBhRm8QhK6D-4 zCaGJ`1Z(2vOuVOg_^-``#dbq@@2>7ecb&Y~N;Qus%` zdywEnJtR1dI13+ocyAtYk*6<{zqgEaR}t3{Z&?%Xu_nIN4_h@R-8$Z{f5+BCZBnL+ z)lR6tvfTffkTxM}B0<)~DIsl&J`A7Me?ON^n(9W3YvTR5Cf;XFBpns8?m(FI%bG|E zpXlmPqYjIE(f3&s|EwRjJ>M}C-}$d*E6t_Fj)qw6RP+boAt7zTv39#Vi}x=g{-~pKh8-3b4Ue%R`n*y^{N z_Z=TxEd-6U9%?lc7OUO8>;t`Rn=JSbT53Frwj*}Jt>c3{M!OMv5s$;`_#dz)l8%aq zgNVb3Cka+F@d0b%<`wgUGif{WTV{gTZn{7CUUT(pDK<^aSi1x-gr|hGDXQy4@^_FQ zOk|UznMRyx3`s}x7-dZ)EiYqq6>%MLBdqJzGqIJsITIi1v)BsQc707)Y&ZN5?*Y9>CseNB8=>V007X1g&H z#FAtEwPrHGF;%Q0i{QhHh`$YwCqA6S=rrOi;yfa2BI#%uaTReLag&u-b?ce9{q>1F z+dHj$xjqvXtAqEl{Ek}vSsk$nn(B7a=c0Lh-jN^o zUpAA%@p(sH#HQl&j-2PBKdS_r`sKN3jnz!#JQw}NOVnf1eMf$F-m2t{HDR%2L_aiJ zjl-(2YL3s-zu?PR6T7>T{70>y-333Nbiyo7iu$qa(+piOcr@N(a zVe9!_H2X~bC`=4q~IGHvm-?ZaiPyNVd!D?i*QTF+BG z6RZjD7TK!f(XHd7`p~fT@2bN5TC8@$JK^r&BW_hmv>matufJ5F9c`+cYnNa*VlU!x z#D2sg;vi!D?A=EvNwk`Yk8WQRAAP5yxqh_Wm@UCowvWIEy%s$eKvzcp0Osi0gbor!N}}zE`sh*eB!&Bmv~V|KFXC~;xF)(qCp0yP zg@-YEl0>VS=#J#Bj#Ia-?!5DJGx`FkVG#c{%$`+CowvW zIEy%sxQMun7{8OGyN=OYK9AYms>scm_*et3x9c-uvE8wLd{+e%Y(;EGd=xQ{*p1kW zcpR}Gv4}W`IE;9bpiVHaPkem)n)q1nfz?NMVY6N>(xrB4@6ZsIE&Rz z*gQ&KpZKJ;Yly<_h@J3u@+WzWcGX4FaxX@YBgQrHNfD!ih{K2{393)l#3#3}iBC!u zt+uQ4uPQbZrFO}9&x?TLmjN$g^fKZk3x%f!eVt$ct>xcCfEuOub;HDGC7(j>Mh0GTGW5GfAX<<-Q6)h ziEHA?acsG-I)_%%Mpfw7WEg{paZNnAWlcO8sm9gqK)-`JywZNN|E>Z+s#BzEhQ7vYej5lc^d6R%=Hps(o{EMFXC}*nKhBrEg}vg4#T_fy<64^E5g_l{YbtuJlFYB$ca0b@0$(T0@ zR%@cSmAknno@QC8fNj@j!eYBtpEgxA!B)h0op{=b(L7=|yG)zvWo0vI`8XEtXUnv3 z5$5UXAV!A~PZDhUt>ft^TirGjWi<`j-Ixht$pFtI#x?OYu8F5{O+1}sFVm)`;dT6{ zv)J-HVq6nXmod81r>c`d#x?QumNoJ8_BHXTnyt6%Ghwk^C!cCglRiI<-;eoeJDjRd zJNYOroX5J|h&_dqj*errAF+ry2zNA}4zoOM>Le+w@pHxebR>84*70eni&eGVmZ+a26-Qw0-jMQlgxM9jrW-ENHbVw8@P!u^Ov#6iSi z#FGRyey$V8Be`2ucuqnXuUIs`pGSB^RS-@xJ3(JA0W-+p|vg7b)i9YWplc#(WkZV?K*( z;#vHjnrDTEu{%G{;(f=n_`P?}Zdnu0M%ll*Z6-?H9ar0pnIM+*c^;AbjwBk_#Iwsd z-bwhfx@S{GlR1u$F`vz|KTo6azT=r5W2XJCvOGOMd?(3ibwKyBXMFEnJ;-WJJY!9q zRYj_po`HQ{8Ftra!eVtZD$1JJJ;(aIMHT94Q?#vJf}MzY8KT`7?L~}h;`4rt7Q)>u zem;oNVZ@ViS6JicI`R2PMQ+|YK37T2qHQ;3f>?6s=fXoPX@@@6ugRfnP5fU8UdHGo z;#=kasf&pX*aCiC<>R~JcB@iV&5<9p@L^||e4QaFBY`*{(Y;=S^u zFrU#)kk9DWSk1(9KBIecO*}8No?5o;#!L{ao4J=gKhK6Kj#Vj%YE7hgnFpN2=rrO? zrz2@fYa&I~L=s&_Tt(zNNs_`^6IWQz#8&R+O!Q@SDYfnTOjzvCJf>SoUo9oKj($tH zyY2Mb!g?L5a7VZsQ9l>%-U#YZ2~SkmgbT1bwDHIWSPLRj~ynYfHN5hhJdg-KJhi1S!@5pk&-baLn` zVbat(;zo7rLDn;|mAknnzL2fA>ubVdyWxMKmXf3Sq7|_nu@fai83n{u=zbITK%2O|rW_6BetRxtD#ZmabYAwj#zg z@nu{SU*@rJH)1bhToYf$HSuK;3lGXLs$s;Fq;NG8U*5hZzEnj`k!?3-qLhB&G0py$ z=lV67n7Agsyii9;^fKZkyaxK^G&VJhIFGo9xQw{U%Cz5g#7%-tUlU($)xga)@l}?~ z`*wXMEVetiuhde~=U1&FU^_-T5pz{en(D@AToYd%$7nxd5pfW481a@h@zqF0ZkdU) zkoWDzOb|xi2K)hds+zuM-v z&BWI#S#Q^8!eYCb_*yL`6Z3T|Vq6nn$2IYFUWU5eJYX+kToYf%HSu*3UKjs*P=uz2 zS^A3O*SD;RuUQjE`eCaMPtU-Fm+HTAQv1BIBBaS0pM7*4Yx|956o-{QrU#2b3 z!c+LK=Xr=OV#`Zi*OI1IvFS@sa`b=1C*XK8^iJz~+cVi}qRYi5*@y)sL&`NSg{>@mwKHT9p7sq2D(XVN!P*4}GTS zKj?=|Ezh+}>MkNKg}cX?->f38g}cw_ezQrio{6p81O2ciGl6cK{BI`}{1?kh_>mW4z^PEePpUQ7qApBNogZv0&E`3-%nb;IShX>^ow? z!VwD&9I@cg5euF;V!@Fk7AzgH;JG6f96Msc3+)fwf|nk}-Vsh5u|%hiSa9Zu1?P@f zaN&prmyTF)<%k8>8n)&c3vM*yLM*uTC{}3eLQyQ(RGsRRMqtYk3%0EzvtY*&3+8$n zr@C0MtGzIn1$!RFg2&n`iUs?QSg>%!f&)h^ICR8x&8xb?c00#Hfe zL`1QQS`I(``6d?Rb!K6+Lf)ek+N;lscBF;&&ZRJS#8S8Ghy{C&Sn${p3-%qcV4)t> zG8QCJ!cAIbS^MOUN;J9gs1xci* z!dOsG&ZukY^@I~8(i38dP78}-!P%B8uvu`f{)JeMci~5b1(&ua%~E*Pv0-4rwHL;M z8y(S^TX6dqhS*c3R3mquMui2N1x=Y%;ijKK&zdJ}TgzsNcI@!Yg1MT!?-uMjV($t0 zh|iH*@K~c$N7zGQe`$!NZc!Ry!GYRPVJtZGC>A{NC>9+3g%!qvrL^i|!SnML!@z>$ zEX&??dnn}TwGjKZ@KXE7Zo$dOn!-L5PK9a;OH(s1jHRi0X?3v_UTCkDvEWj8;FgwG z(n74xZ*#5vhi<`5VTgTSxYhoFTcJJB(g9*$73v6dyk<43J1s{n*mlH%9Y-vfJ7U4E z_D62Po=35V!ed7)(Y_-VEF7`mz!3`$9kJkvBNiN$b_iHd)X@=P^#R&H{Xg22kNVEJ z!Wtb>boEH?&RF`fM-Nr%!V#-^zjK*2iDJQt_G%gnP93pyJkwrNR%p5CG%BnLTQ0hWTMff<(FrX>ose8~ zLd#Gm+xyC`rtU5&=F|~Z6Kg5vgqC?k zYKiBBQ?)F_((+6lsxTIud%svZBE_8g#ez%e1Gn_c85AzH(~a=%TKhNMf*VIHr;Kx@ z!dRhYj?*x(Dr}kK8dW|yA(`WZmQPMd<~X6{lM|9TPH6e$gk+8rT0S|UzHyntmQPMd z<~Si%2Y5i{IHBc}6ZX}=(DKO%i>y@&u@okAoDd804!Y3t$qD@onk72&rm8b&$>LNP zOO$hUl$ixd{-r4PPRjy$u{tRSB!p94EJ#8)p{0ov zk`PXXElr$onPr^=w-oj>XqK};0+%=4g1j*<#B$0!7o1t4<#|&_SQWNBZ@;+JAT7_E z(6YY?U(+<4x&2v4p0|eEQz3cYRM@h=3CZ&&wCry}^1KNx`AgrsK!Nwy}m6l_A0tqCm!n~-E{LQBCWB-xtKQm_e0wkE{V z6v@_vmV!-4vNfTlU=uEURF;BGxOBu)cjbr$IfJT;1$ks6#DZJlW4FRrvMguJSS<$2 zq1JFqw53Wy%d95kHYmgreWY)27Gl9%*LWco?C#|t79@w75DSt+O=y|bgnhLkw9IP4 z!Vyd1fg=_iYJcPw^fPGoP&o3!)fu$3X=)iuVN#;jV82&X+lz?2`yooaN(n}glWR1=3P-Nbvai@Zo#!?SW)bu zaHG8tOLXgq6c+5KDBvR}jU5eg@5g%iJcmI)nFDj##2=-Q%e) z79?qv5DWSlG%K|HWQta2(DIWt+!AeNbmUpfgybg^Vu?N~xD9&NGT}#d_RSI{KbfLf zkQ_5Y%UUKRKbg=n&j`s+CbX<&!ovHttYtz!gJx-J=+Wv7w*3s6CF*C;EO>g@(S5gB zko!S(ggq6Kc1(yRdZCVmmW)hz=^bH-l6Fi{EJ)fhAr>Unh1^I}d>S94ZgJ!|47q(<%RW>md#wu#r#2QtOF(KK+gqCAWNH#H{edm$F&%~By2yetguEmYw|`dha|ry8>m`$9PLC>ET16bt$p zGz%`J)lqc@UtKw3_Y_?_V!@3g7W6Y{R`{AMO;u;`HFYaAOSI*P1>2=Xv7o<3V;0P_ ztf{(Ku&Yu+EZ7?vV!`8%Ar|bHhFGvrsc+qa1EHG2z7P&eLoCq~?G?p>qrwmi$`Zwb z=fYpyg1nw4#QrS2(EiLVcqvp}?5S{~y$WNgJJpd1u@s(_7R7?|!lGDkp;9W01(#Vt z%UEzFR1~`>y(z4s4@5MD1rN@6KxKBX%G4#qf}Fvl>_K$~ACRd_ zQS70jx?X~ozf0J)md#SvucBr_GIgnNbrpTk_rh4Bg(DUmIAXz}eo4+sdam0dpos_~@aHhQw3zC3Khy_W&CA73$ z!lf6sv|PfKBbLHzM=a>CbejdY9<5&Kw!B(u8LKF_ADsoywk2#?VY5Wrj##kchy`;; zEZB9#f;~qpcvge0%Yvmtz=CAKYE-$ggk-@IT5c>MS+Inb8%szQEFqSyC|R(C zSded{7g}yCVc$mOxv_+WBbLI0k|Qz;4wr^l@Wi87aO8*u%X2G?1x0!~>?yB=B)3w_ zSUnwnc+o6DOL-+Exs?!0-AR^}s%t5)ge12TVyQbTts_f$C7i#sjmVE1{xT3a=fpr^1c)8U_~RbA>95Rbf4OvnW4QrOLXf|tfH0=N*!SpwR}(ww_xifljB*Ugl$JG(N1AeESP78Sg`Ae z1$&NI@YoRx_8qZc;fMtXj#zN$hy_m^vEaxN3zmhA3Jacl6bp_WvEYRx7QA%Cf)ht9 zICaE=Ge;~qcf^7VM=ZE>#DXhFEVy>Wf*VIHxOKz|f2AGg2n#k1&4MjQEZBC$f*nUJ zm^)&@u3c5kf_f;Zj?99`UKk7Z9kF2Hhy@3ZSa9fw1y3BY;K&gRmX28P+z|_o9kJkr zBNn`L#DWt?EI4(W6_eOACyD5>t5UT63-At{v9@(=#9!yBYf zQq&SFDM|_@p(R!l{$8b2*b*xV|3;`NmSOx+dquGfT8HqVTcR7GqFB92|Hf8(O*>Xm%Z#KbR?}{oks5A^@-Y#isW zGiEHvE1*IwxVF>nX^@0|2F()PdbB!&md8kSv5HzAqehk8NJt(d;eoFl%VQ+8>_&=` z$4F?|jf5XLVrhyzMv7uV@)!v%yOHp@M=iUN(9fV}Hxd>ZN95U!gq$lOmZtm+ng#s~ zng#s~dUhk>nN6%&qCe;jIyHp_{S2A~Nl&C`bq3$^Gw4Z+6#dDYs?MOLCsJW7bxBVo z{DYbR{S2A~7k&uU8GLK$h$Xsm#Dd(~)D#xZ zsIa=7 zvEbYh3oab7;IgoZ#eyqGEV$NQM}!48nH9#q5N;i@idz019T671tGkJB-GVJE>{)rL z{5uV|L_7AR^}TjgH}}F=qFqNU*mJ~!$BtOA?}!D9oH3gP2OhaHEJ;KmUPa<0C0E3`~HY6|;8sMpi?e{oB+B`w7MENlzU z+=67wX}CQV=86g}hmNr8h^48XBNjY%#Dab8)e#mfv{zHupM?YM&)gCn3RM_;DkNKu z5KG~a_W$e_{7%?#`%l6jghy`ogk$03Dm9Mq!V#;>=KDWtH1E0vC(>#Pt4rVeQ%5XK z&9qlxEI8NxKf0yx!lT%K5H9t~`hi=bD`_ECSJC&^!Vleo8{vQFR+r89w>sVjZvTz4 zvgk!7MupW?^aH&|tdrsyZ-gz?6=F525894ckk8I4iUo6zTE-h;*AYv!=ZFQ5?S(U=VZp)?3l1Ey;Ls5Zo;YGb&Y+sYf@j(vxCKdB^MhMW&Ie;fg_g)hcp*G<`)eU7 zYg89|Dx7GqmMxKuaO#Mq<(bB=!dP(bQ7j#C2Guf_=+dKDT3$I~iLM>7;6{7Z#e!Rp zVpZ2N(P&gyMJ*Fe!!6jd!k(W-*mlGcB@>MbW5L{`mY+u0b;J_wIby+MM=aQP#Daw* z792QY!J#7-JaNQ=BS$P)+S@B;K@!Yp%vf;jg)Qxj@WK&Glms&>j0GniwX`$BsUw!? z%n=LD9kJlT5eqIIvEa%P3$7ip;3g{>GZyscgJy*}Ib{^Bo)6}CRQMOSM0wsI#QrSg zvFPusY%)Uf#x&giwJ^7SJ)4Y>yfKPmY04jqngz)lqiFS5H224%o=ry4!kfaHdWs?zl${4fMB~^?%!h)oOIdV%=<8wY6Z}w2gxq4hBi4l?x=3TeC zids4tb!16mgwx_BEl)Z=IkQWyqGoAX@5X~zT_-Iaj1UVh%R*NMPZA?ssb3*hH`ttW z^`TpE(amaUS{oodCtCXTxU1Sa)+anpXL~}(C z+=5-P3S%{l!=Bx(OGVXj|J!2Yc{YP{vs>s7Yi=67h);A za>RoE?vhz>BYox;+&W@UtDG!q3Ja2xrICHKCsg5&G?D7aGqniYj##4PWKk3g<{q_7 zEyAuNmT1or3m!XS!M-CFEF7`mz!3`$^^5w&f+yMwvEaxN3x22lkz4S4N9>`HR4b~B z1uwK0S^^f~?@C@(GfUlxN3r145ev>7vEbYh3oab7;L;Hbt{k!8+7SzG9I@cm5i2|* zyNU*h1<9cDu3NBWg*~r|ur0jrmM9ri8gBKX@lo!DEw75OD^ych3imvU)n(-9*bz&# z?}!BpM=UtdUQJ=aq4w$*OH(I~SfV3GELbXOiV9;vy_c(1XBHfLVJvvzhy_WEqJFX9 z#G{rjML2cD5}i3>!MP(ATxhSU!-7lgH4H3Mw{pZ1T{~jIjrNb-f?MGOx4O1>S%Yc{ ztE;HxJ88I8;ciP>c*kG*>$V-SM0tN(Q7o8y6bp78v0%>;3m!XS!M-CFEIQUN794mK z3l2Ss1y4MR1xJopkTfP5Bo_Q$X-tHc+(bC`!dRjgj#!X1CMt{tCmyxrCc>#Bmgvk8 z3(g&};KC6LE*-Jps$(5t!L>)Rpnp!rEV%V(^*I^KPNG3#6}9Xnjm&eF2wPUzbCw9( zj#vsma>RnUBNpsBV!@sx7Cd&uf_+CUSU6(Afg=_iI%2^SM=UsU#DZt`VzpV2q$4^& zEI3xFZ>v-!!V9m9eIX?2hzesVJkefgsYrxVM=a5qBNm)HV!?$Y7F;@F!IdKxTsvaH zjUyJ^YOgV4g_cW1qr$$Za)~tD5^YHfEyIYg?T95xE)hksVD3@NFe24jtC20I%2^|W>G9S zb;N?RrbV&f+@n}<;fMv7j#zNzhy~YVs)v1($ijrEgy*R*jvU@xUc<@Td?rL*hAsK5leLF zhy_m^vEaxN3zm*p@Z1p#jT+LJy4@3r?hkSPD-avEWR59Re1dYp=rC zL!mzwHA{5q(dx12la(Wu=-LqrZgM^cWft6e6f5kB=m-lo4b6frM=VI5j)q&XeaPEi&7hV_(F0)qa$St_?nZzCn*IpP)bmNEx zw~koh(=03L7YjBG&4MjQEaRnA-S*9b=Z;vAuP6V(EqI|yXKwY9#iy6TgDT;LaFQEh^{o8UsUsGg zNo$Z;aGvKNmX;S9oe&Eyy)YJB3DqwaTsvY&yF3jMEj0duyDkJ14k@4bi{%uj#zNyhy_bWEO_pS z1;>t9@WK%bUOHmIi6a)AI%2_@BNm*OHj`Lz;fMv7(wb*1xN^jTYey`&am0dKN38Hz zJDS3RO+&L_%MlB<9kF1?5ew$xhFh@fQ7qW=C>Ep(6~=;nM=V%4V!?qU792Wa!4pR; zIC8{-r6U$Rcf^8YM=W^Zhy^blvEalJ3r;(B2v~6DQ7kz3C>C6J6bmjLvEa%P3$7ip z;KmUPZXL10XWHpPVHRu(&)n)#@XW8GW>1A}yCX15VX{Fq+=97Xpv;0iW>FLi`Xd>$ z;ITSVw0b1-%>RbhEYZTF)!*Xg2ce~Y z5ONh2TIvVkg*RoXAB2~VSn5vf3nf!X~ZAUE8 zjw2S#OPVqZc0Gy(dyZJ}*bxi%9kF1c{efF>;8Cm&|MQ_Emgq@og|XnsqgV=S#}Q$P zo@;;PmLnPqHD>Ig@IomWgjfn+dK3#z9I@cEw7QcDXWFaowDjm)c@%_L3NN&OoPCZ3 zmqJCcdS&eMmG(MbEYY?0Z@T3WHman$Sbdkp=iAZ>V^#NgmX$PxRpICUSk$bVdhVZ9 zGYk4>)y#sO>>pLvESReYAr|ycI++Fi-6gXinHE&IdUxqL?;{DZLk-9*Bv24y!LfH_X%&PQj##3Xxg8M}oM^AQSa9ly z1!p#sX2H2fu^{J4b+O>m5eu#yvEbSsF`5N89>s!NN35`~UG}ftf=%I(+dmVwg!ij# z3BtDUv0E+Ren;4FtHsdIh3{6`5`TXm@gT#Vcp<2dj zkY8vAV%5|aO+&L_%MlB<9kF1?5ew#ySg`Ae1$&NI@YoRx_8qZc;fMtXj#zN$hy_m^ zvEaxN3zk`_12hYsJ7U4H7si6T`l7;E@X`xo!HGw)Ajt_-7z@rEEoFgl?uaG2u$z@x zaH$^7+=43~2KH3AcEl3hIAX!AH-!~`sU5?>f=xrSV9OB;wjHq`=c?fr%pI{{*AWZ$ z9I@cBBNpUbsVOX2IAXy;Y0;v>q2oY1q9@X-iv>rHSWs541DgfUJ&JuH96Mr(UNo&K zEO_aN1t)stMjc_nsn^AVGe;~qcf^7VM=ZE>#Dc4=q-89)cEo}kM=ZGYx>%uQ2vA)t z*tDowuvKtGX2G^cv0%p$3+Ae**Lq{xl;)n%D zj##iPY*bkA+z|_or8QWf*VIHxXrC)tnh2?7!?*&2ST%8%MlB<9kF1?5ew#})y0CmU#OtczHghy_{(jFt3JrtfhVu|uK{b~veUO3v9 z_Y3)&enqiFC;7`&!#e#fI zztFzCU&z<=AGsy!-_URNP~ZKo!=*4*(Qi0czqkcCS3>O1LeABhTaa^gZ48HY_)&jHbLyGP5qbcxBjK2-QB&+zB&I- b$Uon2{bzlbV*V(5v{x1S6NUCvNW1?Z%tN=d literal 0 HcmV?d00001 diff --git a/vhdl_YUV_6bit/fitting.notes b/vhdl_YUV_6bit/fitting.notes index 2e95a38c..850cbb93 100644 --- a/vhdl_YUV_6bit/fitting.notes +++ b/vhdl_YUV_6bit/fitting.notes @@ -41,3 +41,58 @@ FB3 11/18 44/54 83/90 9/ 9* FB4 17/18 33/54 83/90 6/ 7 ----- ----- ----- ----- 59/72 150/216 296/360 29/34 + +vhdl_YUV: Revert to original clamp timing (v6.1) + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 14/18 41/54 89/90 6/ 9 +FB2 18/18* 31/54 44/90 8/ 9 +FB3 11/18 44/54 83/90 9/ 9* +FB4 16/18 29/54 82/90 6/ 7 + ----- ----- ----- ----- + 59/72 145/216 298/360 29/34 + +Adjustable clamp (v7.0) + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 15/18 36/54 87/90 6/ 9 +FB2 18/18* 38/54 66/90 8/ 9 +FB3 13/18 47/54 87/90 9/ 9* +FB4 18/18* 36/54 85/90 6/ 7 + ----- ----- ----- ----- + 64/72 157/216 325/360 29/34 + +Improved clamping times (v7.1) + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 15/18 36/54 87/90 6/ 9 +FB2 18/18* 38/54 66/90 8/ 9 +FB3 13/18 47/54 87/90 9/ 9* +FB4 18/18* 36/54 85/90 6/ 7 + ----- ----- ----- ----- + 64/72 157/216 325/360 29/34 + +Further increased clamping times (v7.2) + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 15/18 36/54 87/90 6/ 9 +FB2 18/18* 38/54 66/90 8/ 9 +FB3 13/18 47/54 87/90 9/ 9* +FB4 18/18* 36/54 85/90 6/ 7 + ----- ----- ----- ----- + 64/72 157/216 325/360 29/34 + +Pipeline the sample signals to reduce the product terms (v7.3) + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 16/18 41/54 86/90 6/ 9 +FB2 18/18* 27/54 39/90 8/ 9 +FB3 14/18 36/54 88/90 9/ 9* +FB4 18/18* 36/54 75/90 6/ 7 + ----- ----- ----- ----- + 66/72 140/216 288/360 29/34