YUV CPLD v7.1 with improved clamping times

pull/125/head
IanSB 2020-01-05 21:14:15 +00:00
rodzic 15d1a71e0c
commit 2df33b5d85
3 zmienionych plików z 73 dodań i 74 usunięć

Wyświetl plik

@ -1,5 +1,5 @@
Programmer Jedec Bit Map
Date Extracted: Sat Jan 04 04:44:44 2020
Date Extracted: Sun Jan 05 20:46:18 2020
QF46656*
QP44*
@ -78,30 +78,30 @@ L0000896 00000010 00000000 00000000 00000000*
L0000928 00000010 00000000 00000000 00000000*
L0000960 00000000 00000000 00000000 00000000*
L0000992 00000000 00000000 00000000 00000000*
L0001024 00000000 00000000 00000000 00000000*
L0001024 00000000 10000000 00000000 00000000*
L0001056 00000000 00000000 00000000 00000000*
L0001088 00000000 00000000 00000000 00000000*
L0001120 00000000 00000000 00000000 00000000*
L0001152 000000 000000 001000 000000*
L0001176 000000 000000 000000 000000*
L0001200 000000 000000 000000 000000*
L0001224 000000 000000 000000 000000*
L0001248 000000 100000 000000 000000*
L0001224 000000 100000 000000 000000*
L0001248 000000 000000 000000 000000*
L0001272 000000 000000 000000 000000*
L0001296 00000000 00000000 00000000 00000000*
L0001328 00000000 00000000 00000000 00000000*
L0001360 00000000 00000000 00000000 00000000*
L0001392 00000000 00000000 00000000 00000000*
L0001424 00000000 00000000 00000000 00000000*
L0001456 00000000 10000000 00000000 00000000*
L0001456 00000000 00000000 00000000 00000000*
L0001488 00000000 00000000 00000000 00000000*
L0001520 00000000 00000000 00000000 00000000*
L0001552 00000000 00000000 00100000 00000000*
L0001584 000000 000000 000000 000000*
L0001608 000000 000000 000000 000000*
L0001632 000000 100000 000000 000000*
L0001656 000000 100000 000000 000000*
L0001680 000000 000000 000000 000000*
L0001656 000000 000000 000000 000000*
L0001680 000000 100000 000000 000000*
L0001704 000000 000000 000000 000000*
L0001728 00000000 00000000 00000000 00000000*
L0001760 00000000 00000000 00000000 00000000*
@ -205,23 +205,23 @@ L0004576 00000000 00000000 00000000 00000000*
L0004608 000000 000000 000000 000000*
L0004632 000000 000000 000000 000000*
L0004656 000000 000000 000000 000000*
L0004680 000000 000000 000000 000001*
L0004704 000010 100000 000000 000000*
L0004728 000000 000000 000000 000000*
L0004680 000000 100000 000000 000000*
L0004704 000010 000000 000000 000000*
L0004728 000000 000000 000000 000001*
L0004752 00000000 00000000 00000000 00000000*
L0004784 00000000 00000000 00000000 00000000*
L0004816 00000000 00000100 00000000 00000000*
L0004848 00000000 00000000 00000000 00000000*
L0004880 00000000 00000000 00000000 10000000*
L0004912 00000000 10000000 00000000 00000000*
L0004880 00000000 00000000 00000000 00000000*
L0004912 00000000 00000000 00000000 00000000*
L0004944 00000000 00000000 00000000 00000000*
L0004976 00000000 00000000 00000000 00000000*
L0005008 00000000 00000000 00000000 00000000*
L0005040 000000 000000 000000 000000*
L0005064 000000 000000 010000 000000*
L0005088 000000 000010 000000 000000*
L0005088 000000 100010 000000 000000*
L0005112 000010 000010 000000 000100*
L0005136 000000 000010 000000 000100*
L0005136 000000 000010 000000 000101*
L0005160 000000 000010 000000 000000*
L0005184 00000011 00000011 00000010 00101010*
L0005216 00000010 00000011 00100011 00000010*
@ -276,14 +276,14 @@ L0006608 00010000 00000001 00000111 00000010*
L0006640 00000000 00000001 00000010 00100000*
L0006672 00000011 00000000 00000001 01100000*
L0006704 00000010 00001000 00000010 01000000*
L0006736 00010011 00000001 00000011 00100001*
L0006768 000100 000010 000010 001000*
L0006736 00010011 00000001 00000011 00000001*
L0006768 000100 000010 000010 000000*
L0006792 000000 001000 000000 000000*
L0006816 000000 000000 000000 000000*
L0006840 000100 000000 000000 011000*
L0006840 000100 000000 000000 010000*
L0006864 000000 000000 000000 010000*
L0006888 001000 000000 000000 010000*
L0006912 00000001 00000010 00000000 00000010*
L0006912 00000001 00000010 00000000 10000010*
L0006944 00000010 00000101 00000001 00000000*
L0006976 00000000 00000001 00000000 00000000*
L0007008 00000000 00000000 00000010 00000001*
@ -298,14 +298,14 @@ L0007248 000000 000000 000000 000000*
L0007272 000000 000000 000000 000000*
L0007296 000000 000100 000000 000000*
L0007320 000000 000100 000000 000000*
L0007344 00000010 01000000 00000011 10000001*
L0007344 00000010 01000000 00000011 00000001*
L0007376 00100001 00000010 00000010 00000011*
L0007408 01100111 00000010 00000011 00100011*
L0007440 01000011 00000001 00000001 00000000*
L0007472 00000100 00000001 00000011 00000010*
L0007440 01000011 00000001 00000001 00100000*
L0007472 00000100 00000001 00000011 00100010*
L0007504 00000000 00000001 00000010 00000000*
L0007536 00000011 00000100 00000011 01000000*
L0007568 00000010 00000000 00100010 01000000*
L0007568 00000010 00000000 00100010 01100000*
L0007600 00000011 00000001 00000011 00000001*
L0007632 000000 000000 001000 000000*
L0007656 000000 000000 000000 001000*
@ -320,17 +320,17 @@ L0007872 00000000 00000010 00000010 00000001*
L0007904 00000011 00000010 00000000 00000001*
L0007936 00000011 00000010 00000011 00000001*
L0007968 00000001 00000010 00000001 00000001*
L0008000 00000011 00000011 00000001 10000001*
L0008000 00000011 00000011 00000001 00000001*
L0008032 00000000 00000010 00000000 00000000*
L0008064 000000 000000 000000 000000*
L0008088 000000 000000 000000 000000*
L0008112 000000 000000 000000 000000*
L0008136 000000 100000 000000 000000*
L0008160 000000 000000 000000 000000*
L0008112 000000 000000 000000 000001*
L0008136 000000 000000 000000 000000*
L0008160 000000 100000 000000 000000*
L0008184 000000 000000 000000 000000*
L0008208 00000010 00000001 00100001 00000001*
L0008240 00000001 00000010 00100011 00000011*
L0008272 00000011 00000011 00000011 00000011*
L0008272 00000011 00000011 00000011 10000011*
L0008304 00000010 00000011 00000001 00000000*
L0008336 00000010 00000011 00000011 00000000*
L0008368 00000010 00000011 00000010 00000010*
@ -339,9 +339,9 @@ L0008432 00000010 00000010 00000010 00000010*
L0008464 00000011 00000011 00000011 00000011*
L0008496 000000 000000 000000 000000*
L0008520 000000 000000 000000 000000*
L0008544 000000 000000 000000 000001*
L0008568 000000 000000 000000 000000*
L0008592 000000 100000 000000 000000*
L0008544 000000 000000 000000 000000*
L0008568 000000 100000 000000 000000*
L0008592 000000 000000 000000 000000*
L0008616 000000 000000 000000 000000*
L0008640 00000001 00000010 00010000 00000010*
L0008672 00000010 00000000 00010000 00010000*
@ -410,17 +410,17 @@ L0010464 00010000 00000000 00000010 00010000*
L0010496 00010101 00000000 00000000 00010000*
L0010528 00000010 00000000 00000001 00000000*
L0010560 00100000 10000000 00000000 00000001*
L0010592 00100001 10000000 00000001 10000100*
L0010592 00100001 10000000 00000001 00000100*
L0010624 00010000 10000000 00000000 00000100*
L0010656 000011 100000 000000 000000*
L0010680 000000 000000 000000 000000*
L0010704 100101 000001 001000 000000*
L0010704 100101 000001 001000 000001*
L0010728 100111 100001 000000 000010*
L0010752 100011 100001 000000 000010*
L0010776 100111 000101 001000 000000*
L0010800 00010000 10001000 00000000 00000100*
L0010832 00000001 00000010 01000000 00001110*
L0010864 00000011 00000110 00000000 00100010*
L0010864 00000011 00000110 00000000 10100010*
L0010896 00000000 00000000 01000000 00101100*
L0010928 00000000 00000000 00000010 00100000*
L0010960 00000001 00000100 00001010 00000000*
@ -429,7 +429,7 @@ L0011024 00000000 00000100 00000000 01100001*
L0011056 00001101 00000000 00010001 00110000*
L0011088 100000 000000 100000 001100*
L0011112 000000 000000 000000 000000*
L0011136 000000 000010 000000 000101*
L0011136 000000 000010 000000 000100*
L0011160 000000 000010 010000 011000*
L0011184 000000 000110 000000 010000*
L0011208 000000 000010 000000 010100*
@ -500,9 +500,9 @@ L0013056 00000000 00000000 00000000 00000000*
L0013088 00000001 00000000 00010001 00000110*
L0013120 00010001 00000000 01000001 00000001*
L0013152 00000000 00000000 01000000 00000000*
L0013184 00000001 00000000 10000001 00000001*
L0013184 00000001 00000000 10000001 10000001*
L0013216 00010000 00000000 00000010 00000000*
L0013248 000000 000000 000000 100000*
L0013248 000000 000000 000000 000000*
L0013272 000000 000000 001000 000000*
L0013296 100100 000000 010100 000000*
L0013320 000000 000000 000101 000000*
@ -516,23 +516,23 @@ L0013520 10000000 00000000 00000000 00000000*
L0013552 00000000 00000000 00000000 00000000*
L0013584 00000000 00000000 00000000 00000000*
L0013616 00000000 00000000 00000000 00000000*
L0013648 00000000 00000000 00010000 10000000*
L0013648 00000000 00000000 00010000 00000000*
L0013680 001100 000000 110100 000001*
L0013704 000000 000000 000000 100000*
L0013728 000000 000010 000000 000000*
L0013752 000100 000010 010000 000000*
L0013752 000100 000010 010000 000001*
L0013776 000000 000000 001000 000000*
L0013800 100110 000010 011001 000010*
L0013824 00000000 00000000 00000000 00000010*
L0013856 00000000 00000000 11000100 00000000*
L0013888 00000000 00000000 11000100 00000000*
L0013920 00000000 00000000 01000000 10000000*
L0013920 00000000 00000000 01000000 00000000*
L0013952 00000000 00000000 01011100 00000000*
L0013984 00000000 00000000 01001000 00000000*
L0014016 00000000 00000000 01011000 00000000*
L0014048 00000000 00000000 11011000 00000100*
L0014080 00000000 00000000 11111000 00000100*
L0014112 000000 000000 111111 000000*
L0014112 000000 000000 111111 100000*
L0014136 000000 000000 001000 000000*
L0014160 000000 000000 011100 000000*
L0014184 000000 000000 011101 000010*
@ -540,13 +540,13 @@ L0014208 000000 000000 001101 000010*
L0014232 000000 000000 111111 000000*
L0014256 00000000 00100000 00000000 00000100*
L0014288 00000000 00000000 00000000 00001100*
L0014320 00000000 00000000 00010000 10100000*
L0014320 00000000 00000000 00010000 00100000*
L0014352 00000000 00000000 00001000 00111100*
L0014384 00000000 00000000 00000000 00110000*
L0014416 00000100 00000000 00100000 00000000*
L0014448 00000100 00000000 00000000 01000000*
L0014480 00000100 00000000 00000100 01100000*
L0014512 00000100 00000000 00000000 00110000*
L0014512 00000100 00000000 00000000 10110000*
L0014544 000000 000000 000000 001100*
L0014568 000000 000000 000000 000000*
L0014592 000000 000000 100000 000100*
@ -830,9 +830,9 @@ L0022560 10000000 00000000 00000000 00010000*
L0022592 10000100 00000011 00000000 00010101*
L0022624 00010000 00000000 00000000 00000000*
L0022656 00010000 00000000 00000000 00000000*
L0022688 00010000 00000000 00000000 00000100*
L0022688 00010000 00000000 00000000 10000100*
L0022720 11000000 00000000 00000000 00000100*
L0022752 001111 000000 000000 100000*
L0022752 001111 000000 000000 000000*
L0022776 000000 000000 001000 000000*
L0022800 000001 000000 001000 000000*
L0022824 000011 000000 001000 000010*
@ -846,11 +846,11 @@ L0023024 00010001 00000010 01001011 00100011*
L0023056 00000000 00000000 01001000 00000000*
L0023088 00101100 00000000 00000000 01000000*
L0023120 10100000 00000000 00000000 01100000*
L0023152 00010000 00000000 00000000 10110000*
L0023152 00010000 00000000 00000000 00110000*
L0023184 000000 000000 000000 001101*
L0023208 000000 000000 000001 000000*
L0023232 100100 000000 010100 000100*
L0023256 101100 000000 000000 011000*
L0023256 101100 000000 000000 011001*
L0023280 100000 000000 000000 010000*
L0023304 100100 000000 000000 010110*
L0023328 00000000 00000000 00000011 00000000*
@ -966,18 +966,18 @@ L0026480 00000011 00000011 00000010 00000000*
L0026512 00000000 00000000 10110000 00000100*
L0026544 00000000 00000000 00000000 01000000*
L0026576 00000000 00000000 00100000 01000000*
L0026608 00000000 00000000 00000000 00100000*
L0026640 000000 000000 000000 001000*
L0026608 00000000 00000000 00000000 00000000*
L0026640 000000 000000 000000 000000*
L0026664 000000 000000 000000 000010*
L0026688 000010 000000 000000 000000*
L0026712 000000 000000 000000 011000*
L0026712 000000 000000 000000 010000*
L0026736 000000 000000 000000 010000*
L0026760 000000 000000 000000 010000*
L0026784 00000010 00000000 00100011 00000000*
L0026816 00000010 00000010 00000000 00000011*
L0026848 00000000 00000000 00000000 00000000*
L0026880 00000000 00000000 00000000 00000000*
L0026912 00000010 00000010 00000001 00000011*
L0026912 00000010 00000010 00000001 10000011*
L0026944 00000000 00000000 00000000 00000000*
L0026976 00000000 00000000 00000000 00000000*
L0027008 00000000 00000000 00000000 00010000*
@ -987,11 +987,11 @@ L0027096 000000 000000 000000 000100*
L0027120 000000 000000 000000 000000*
L0027144 000000 000000 000000 000000*
L0027168 000000 000000 000000 000000*
L0027192 000000 000000 000000 000001*
L0027192 000000 000000 000000 000000*
L0027216 00000001 00000011 00000001 00000001*
L0027248 00000000 00000010 00100010 00000001*
L0027280 00000000 00000000 00000000 00000000*
L0027312 00000000 00000000 00000000 00000000*
L0027312 00000000 00000000 00000000 10000000*
L0027344 00000010 00000010 00000010 00000001*
L0027376 00000000 00000000 00000000 00010000*
L0027408 00000000 00000000 00000000 00010000*
@ -1001,7 +1001,7 @@ L0027504 000000 000000 000000 000000*
L0027528 000000 000000 000000 000000*
L0027552 000000 000000 000000 000000*
L0027576 000000 000000 000000 000000*
L0027600 000000 000000 000000 000001*
L0027600 000000 000000 000000 000000*
L0027624 000000 000000 000000 000000*
L0027648 00000000 00000000 00000010 00000010*
L0027680 00000001 00000010 00000001 00000010*
@ -1067,7 +1067,7 @@ L0029376 00000000 00000010 00000010 00000000*
L0029408 00000010 00000010 00000010 00000001*
L0029440 00000000 00000000 00000000 00000000*
L0029472 00000000 00000000 00000000 00010000*
L0029504 00000001 00000000 00000000 00000001*
L0029504 00000001 00000000 00000000 10000001*
L0029536 00000000 00000000 00000000 00000000*
L0029568 00000000 00000000 00000000 00000000*
L0029600 00000000 00000000 00000000 00010000*
@ -1077,11 +1077,11 @@ L0029688 000000 000000 000000 000100*
L0029712 000000 000000 000000 000000*
L0029736 000000 000000 000000 000000*
L0029760 000000 000000 000000 000000*
L0029784 000000 000000 000000 000001*
L0029784 000000 000000 000000 000000*
L0029808 00000000 00000000 00000011 00000001*
L0029840 00000000 00000000 00000000 00000001*
L0029872 00000000 00000000 00000000 00100000*
L0029904 00000000 00000000 00000000 00100000*
L0029904 00000000 00000000 00000000 10100000*
L0029936 00000000 00000000 00000011 00110001*
L0029968 00000000 00000000 00100000 00010000*
L0030000 00000000 00000000 00000000 01010000*
@ -1091,7 +1091,7 @@ L0030096 000000 000000 000000 001000*
L0030120 000100 000000 000000 000000*
L0030144 000000 000000 000000 000000*
L0030168 000000 000000 000000 011000*
L0030192 000000 000000 000000 010001*
L0030192 000000 000000 000000 010000*
L0030216 000000 000000 000000 010000*
L0030240 00000000 00000000 00000001 00000000*
L0030272 00000000 00000001 11000100 00000010*
@ -1248,13 +1248,13 @@ L0034592 00000000 00000000 00000000 00000000*
L0034624 00000000 00000000 00000000 00000000*
L0034656 00000000 00000000 00000000 00000000*
L0034688 00000000 00000000 00000000 00000000*
L0034720 00000000 00000000 00000000 00000000*
L0034720 00000000 10000000 00000000 00000000*
L0034752 00000000 00000000 10100000 00000000*
L0034784 00000000 00000000 00000000 00001000*
L0034816 00000000 00000000 00000000 00001000*
L0034848 000000 000000 000000 000010*
L0034872 000010 000000 000000 000000*
L0034896 000000 100000 000001 000000*
L0034896 000000 000000 000001 000000*
L0034920 000000 000000 000000 000000*
L0034944 000000 000000 000000 000000*
L0034968 000000 000000 000000 000000*
@ -1269,7 +1269,7 @@ L0035216 00001100 00000000 00111000 00000000*
L0035248 00001100 00000000 00011000 00000000*
L0035280 000011 000000 001110 000000*
L0035304 000000 000000 001110 000000*
L0035328 000011 000000 001110 000000*
L0035328 000011 100000 001110 000000*
L0035352 000001 000000 001110 000000*
L0035376 000011 100000 001110 000000*
L0035400 000011 000000 001110 000000*
@ -1336,13 +1336,13 @@ L0037128 000000 000000 000000 000000*
L0037152 00000000 00000000 00000000 00000000*
L0037184 00000000 00000000 00000000 00000000*
L0037216 00000000 00000000 00000000 00000000*
L0037248 00000000 00000000 00000000 10000000*
L0037248 00000000 00000000 00000000 00000000*
L0037280 00000000 00000000 00000000 00000000*
L0037312 00000000 00000000 00000000 00000000*
L0037344 00110000 00000000 00000000 00000000*
L0037376 00000000 00000000 00000000 00000000*
L0037408 00000000 00000000 00000000 00000000*
L0037440 001000 000000 000000 000000*
L0037440 001000 000000 000000 100000*
L0037464 000000 000000 000000 000000*
L0037488 000000 000000 000000 000000*
L0037512 000000 000000 000000 000000*
@ -1350,13 +1350,13 @@ L0037536 000000 000000 000000 000000*
L0037560 000100 000000 000000 000000*
L0037584 00001000 00000000 00000000 00000000*
L0037616 00000000 00000000 00000100 00000000*
L0037648 00000000 00000000 00000000 10000000*
L0037648 00000000 00000000 00000000 00000000*
L0037680 00000000 00000000 00000000 00000000*
L0037712 00000000 00000000 00000100 00000000*
L0037744 00000000 00000000 00000000 00000000*
L0037776 00000000 00000000 00000000 00000000*
L0037808 00000000 00000000 00000000 00000000*
L0037840 00000000 00000000 00000000 00000000*
L0037840 00000000 00000000 00000000 10000000*
L0037872 000000 000000 000010 000000*
L0037896 000000 000000 000000 000000*
L0037920 000000 000000 000000 000000*
@ -1585,14 +1585,14 @@ L0044320 00000000 00000000 11111000 00000100*
L0044352 000000 000000 001111 000000*
L0044376 000000 000000 001000 000000*
L0044400 000000 000001 011100 000000*
L0044424 000000 000001 011101 000011*
L0044424 000000 000001 011101 000010*
L0044448 000000 000001 001101 000010*
L0044472 000000 000001 011111 000000*
L0044472 000000 000001 011111 000001*
L0044496 00000000 00000000 01000000 00000000*
L0044528 00000000 00000000 00000000 00000000*
L0044560 00000000 00000000 00000000 00100000*
L0044592 00000000 10000000 00010000 00100000*
L0044624 00000000 10000000 00000000 10100000*
L0044624 00000000 10000000 00000000 00100000*
L0044656 00000000 01000000 00100000 00000000*
L0044688 00000000 10000000 00000000 01000000*
L0044720 00000000 10000000 00000000 01100000*
@ -1601,7 +1601,7 @@ L0044784 000000 100000 000000 001100*
L0044808 000000 000000 000100 000000*
L0044832 000000 000000 000000 000100*
L0044856 000000 000000 000000 011100*
L0044880 000000 000000 000010 010100*
L0044880 000000 000000 000010 010101*
L0044904 000000 000000 000000 010000*
L0044928 00000000 00000000 00000000 00000000*
L0044960 00000000 00000000 00000000 00010000*
@ -1663,5 +1663,5 @@ L0046560 000000 000000 000000 000000*
L0046584 000100 000000 000000 000000*
L0046608 000000 000100 000001 000000*
L0046632 000000 000100 000001 000000*
C4B3C*
2347
C4B40*
2333

Wyświetl plik

@ -49,7 +49,7 @@ architecture Behavorial of RGBtoHDMI is
-- Version number: Design_Major_Minor
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD, 2=Atom CPLD, 3=YUV6847 CPLD
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"370";
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"371";
-- NOTE: the difference between the leading and trailing offsets is
-- 256 clks = 32 pixel clocks. If the pixel clock is significatly different
@ -243,7 +243,7 @@ begin
end if;
counter <= counter + 1;
else
counter(5 downto 0) <= counter(5 downto 0) + 1;
counter(6 downto 0) <= counter(6 downto 0) + 1;
end if;
-- Registers for Chroma / Luma Filtering
@ -331,8 +331,7 @@ begin
clamp <= '0';
else
clamp <= '1';
-- ideally top 2 bits below should be > "01" but only 6 bits of counter always wrap around
if counter(6 downto 0) = "01" & offset then
if counter(6 downto 0) = "11" & offset then
clamp_counter <= clamp_counter + 1;
end if;
end if;

Plik binarny nie jest wyświetlany.