CPLD: Increment to version 2.0 and update checked-in .jed file

Change-Id: I58fe111c1bf969abe7692cffacd7d20a73647df6
pull/11/head
David Banks 2018-10-29 15:27:31 +00:00
rodzic 8658dfc9cc
commit 035381c1fc
2 zmienionych plików z 733 dodań i 733 usunięć

Plik diff jest za duży Load Diff

Wyświetl plik

@ -52,7 +52,7 @@ architecture Behavorial of RGBtoHDMI is
-- Version number: Design_Major_Minor
-- Design: 0 = Normal CPLD, 1 = Alternative CPLD
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"012";
constant VERSION_NUM : std_logic_vector(11 downto 0) := x"020";
-- Measured values (leading edge of HS to active display)
-- Mode 0: 15.478us