Merge pull request #262 from RobertGawron/feature/rev_5_0

cleanup after transition to KiCad6
develop
Robert 2021-08-15 17:28:52 +02:00 zatwierdzone przez GitHub
commit 5f8f4038b7
Nie znaleziono w bazie danych klucza dla tego podpisu
ID klucza GPG: 4AEE18F83AFDEB23
35 zmienionych plików z 34085 dodań i 100004 usunięć

Wyświetl plik

@ -1,806 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 2 2
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
Wire Wire Line
6350 4000 6350 3900
$Comp
L Analog_ADC:MCP3425A0T-ECH U53
U 1 1 5CE1FB53
P 2650 3000
F 0 "U53" H 2300 3500 50 0000 C CNN
F 1 "MCP3425A0T-ECH" H 2300 3400 50 0000 C CNN
F 2 "Package_TO_SOT_SMD:SOT-23-6_Handsoldering" H 2650 3000 50 0001 C CIN
F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/22072b.pdf" H 2650 3000 50 0001 C CNN
1 2650 3000
1 0 0 -1
$EndComp
$Comp
L Device:C C50
U 1 1 5CE20396
P 2850 2350
F 0 "C50" V 2598 2350 50 0000 C CNN
F 1 "100n" V 2689 2350 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 2888 2200 50 0001 C CNN
F 3 "~" H 2850 2350 50 0001 C CNN
1 2850 2350
0 1 1 0
$EndComp
$Comp
L power:GND #PWR071
U 1 1 5CE209CE
P 3100 2450
F 0 "#PWR071" H 3100 2200 50 0001 C CNN
F 1 "GND" H 3105 2277 50 0000 C CNN
F 2 "" H 3100 2450 50 0001 C CNN
F 3 "" H 3100 2450 50 0001 C CNN
1 3100 2450
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR069
U 1 1 5CE20FA6
P 2650 1900
F 0 "#PWR069" H 2650 1750 50 0001 C CNN
F 1 "+5V" H 2592 1937 50 0000 R CNN
F 2 "" H 2650 1900 50 0001 C CNN
F 3 "" H 2650 1900 50 0001 C CNN
1 2650 1900
1 0 0 -1
$EndComp
Wire Wire Line
2650 2600 2650 2350
Wire Wire Line
2700 2350 2650 2350
Connection ~ 2650 2350
Wire Wire Line
3000 2350 3100 2350
Wire Wire Line
3100 2350 3100 2450
$Comp
L power:GND #PWR070
U 1 1 5CE21853
P 2650 3450
F 0 "#PWR070" H 2650 3200 50 0001 C CNN
F 1 "GND" H 2655 3277 50 0000 C CNN
F 2 "" H 2650 3450 50 0001 C CNN
F 3 "" H 2650 3450 50 0001 C CNN
1 2650 3450
1 0 0 -1
$EndComp
Wire Wire Line
2650 3450 2650 3400
$Comp
L Device:CP C51
U 1 1 5CE1E85D
P 2850 1950
F 0 "C51" V 3105 1950 50 0000 C CNN
F 1 "10u" V 3014 1950 50 0000 C CNN
F 2 "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B" H 2888 1800 50 0001 C CNN
F 3 "~" H 2850 1950 50 0001 C CNN
1 2850 1950
0 -1 -1 0
$EndComp
$Comp
L Device:R R51
U 1 1 5CE1FD3A
P 1850 2900
F 0 "R51" V 1643 2900 50 0000 C CNN
F 1 "0R" V 1734 2900 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 1780 2900 50 0001 C CNN
F 3 "~" H 1850 2900 50 0001 C CNN
1 1850 2900
0 1 1 0
$EndComp
$Comp
L Device:R R50
U 1 1 5CE20592
P 1850 3100
F 0 "R50" V 1965 3100 50 0000 C CNN
F 1 "0R" V 2056 3100 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 1780 3100 50 0001 C CNN
F 3 "~" H 1850 3100 50 0001 C CNN
1 1850 3100
0 1 1 0
$EndComp
$Comp
L Device:R R53
U 1 1 5CE272E1
P 1400 3150
F 0 "R53" H 1330 3104 50 0000 R CNN
F 1 "27k" H 1330 3195 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 1330 3150 50 0001 C CNN
F 3 "~" H 1400 3150 50 0001 C CNN
1 1400 3150
-1 0 0 1
$EndComp
$Comp
L Device:R R52
U 1 1 5CE276BE
P 1400 2650
F 0 "R52" H 1330 2604 50 0000 R CNN
F 1 "0R" H 1330 2695 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 1330 2650 50 0001 C CNN
F 3 "~" H 1400 2650 50 0001 C CNN
1 1400 2650
-1 0 0 1
$EndComp
Wire Wire Line
2650 1900 2650 1950
Wire Wire Line
2700 1950 2650 1950
Connection ~ 2650 1950
Wire Wire Line
2650 1950 2650 2350
$Comp
L power:GND #PWR0103
U 1 1 5CEC4630
P 3100 2050
F 0 "#PWR0103" H 3100 1800 50 0001 C CNN
F 1 "GND" H 3105 1877 50 0000 C CNN
F 2 "" H 3100 2050 50 0001 C CNN
F 3 "" H 3100 2050 50 0001 C CNN
1 3100 2050
1 0 0 -1
$EndComp
Wire Wire Line
3000 1950 3100 1950
Wire Wire Line
3100 1950 3100 2050
Wire Wire Line
2150 2900 2000 2900
Wire Wire Line
2150 3100 2000 3100
Wire Wire Line
1700 3100 1700 3350
Wire Wire Line
1700 3350 1400 3350
Wire Wire Line
1400 3350 1400 3300
Wire Wire Line
1700 2900 1400 2900
Wire Wire Line
1400 2900 1400 3000
Wire Wire Line
1400 2900 1400 2800
Connection ~ 1400 2900
$Comp
L power:GND #PWR081
U 1 1 5D026161
P 1400 3450
F 0 "#PWR081" H 1400 3200 50 0001 C CNN
F 1 "GND" H 1405 3277 50 0000 C CNN
F 2 "" H 1400 3450 50 0001 C CNN
F 3 "" H 1400 3450 50 0001 C CNN
1 1400 3450
1 0 0 -1
$EndComp
Wire Wire Line
1400 3450 1400 3350
Connection ~ 1400 3350
Wire Wire Line
1400 2500 1400 2450
Wire Wire Line
1400 2450 1250 2450
Text GLabel 1250 2450 0 50 Input ~ 0
analog_output
Wire Wire Line
3150 2900 3300 2900
Wire Wire Line
3150 3000 3300 3000
Text Label 3300 2900 2 50 ~ 0
SCL
Text Label 3300 3000 2 50 ~ 0
SDA
Wire Wire Line
6350 1900 6350 1800
$Comp
L power:+5V #PWR072
U 1 1 5CE0B768
P 6350 1200
F 0 "#PWR072" H 6350 1050 50 0001 C CNN
F 1 "+5V" H 6365 1373 50 0000 C CNN
F 2 "" H 6350 1200 50 0001 C CNN
F 3 "" H 6350 1200 50 0001 C CNN
1 6350 1200
1 0 0 -1
$EndComp
Wire Wire Line
1550 5600 1350 5600
Wire Wire Line
1550 5700 1350 5700
Text Label 7000 2600 0 50 ~ 0
SWIM
Text Label 1350 5700 0 50 ~ 0
SWIM
Text Label 1350 5600 0 50 ~ 0
RST
Wire Wire Line
5750 3500 5100 3500
Text Label 4750 3500 0 50 ~ 0
RST
$Comp
L power:GND #PWR074
U 1 1 5D0926F0
P 1050 5800
F 0 "#PWR074" H 1050 5550 50 0001 C CNN
F 1 "GND" H 1055 5627 50 0000 C CNN
F 2 "" H 1050 5800 50 0001 C CNN
F 3 "" H 1050 5800 50 0001 C CNN
1 1050 5800
1 0 0 -1
$EndComp
$Comp
L Connector_Generic:Conn_02x05_Counter_Clockwise J51
U 1 1 5D097999
P 1750 5800
F 0 "J51" H 1800 6217 50 0000 C CNN
F 1 "Conn_02x05_Counter_Clockwise" H 1800 6126 50 0000 C CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_2x05_P2.54mm_Horizontal" H 1750 5800 50 0001 C CNN
F 3 "~" H 1750 5800 50 0001 C CNN
1 1750 5800
1 0 0 -1
$EndComp
Wire Wire Line
6950 2600 7150 2600
Wire Wire Line
6350 1800 6350 1650
Connection ~ 6350 1800
Wire Wire Line
6350 1650 6650 1650
Wire Wire Line
6650 1650 6650 1400
Connection ~ 6350 1650
Text Label 6650 1400 1 50 ~ 0
VCC_PROG
Wire Wire Line
1050 5800 1550 5800
$Comp
L Connector_Generic:Conn_01x04 J52
U 1 1 5D0C9069
P 4000 5600
F 0 "J52" H 4080 5592 50 0000 L CNN
F 1 "Conn_01x04" H 4080 5501 50 0000 L CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Horizontal" H 4000 5600 50 0001 C CNN
F 3 "~" H 4000 5600 50 0001 C CNN
1 4000 5600
1 0 0 -1
$EndComp
Text Label 3750 5700 2 50 ~ 0
TX
Wire Wire Line
6950 3000 7100 3000
Text Label 7100 3000 2 50 ~ 0
TX
Wire Wire Line
6950 3100 7100 3100
Text Label 7100 3100 2 50 ~ 0
RX
Wire Wire Line
6350 1800 7050 1800
Wire Wire Line
7050 1850 7050 1800
Wire Wire Line
7050 2200 7050 2150
$Comp
L power:GND #PWR076
U 1 1 5CE0DCE2
P 7050 2200
F 0 "#PWR076" H 7050 1950 50 0001 C CNN
F 1 "GND" V 7055 2072 50 0000 R CNN
F 2 "" H 7050 2200 50 0001 C CNN
F 3 "" H 7050 2200 50 0001 C CNN
1 7050 2200
1 0 0 -1
$EndComp
$Comp
L Device:C C53
U 1 1 5CE0B49B
P 7050 2000
F 0 "C53" V 6798 2000 50 0000 C CNN
F 1 "100n" V 6889 2000 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 7088 1850 50 0001 C CNN
F 3 "~" H 7050 2000 50 0001 C CNN
1 7050 2000
-1 0 0 1
$EndComp
Wire Wire Line
3600 5900 3600 5800
Text Label 3750 5600 2 50 ~ 0
RX
Wire Wire Line
3800 5800 3600 5800
Wire Wire Line
3800 5600 3600 5600
Wire Wire Line
3800 5700 3600 5700
Wire Wire Line
3800 5500 3600 5500
$Comp
L power:GND #PWR073
U 1 1 5CE0AD0A
P 3600 5900
F 0 "#PWR073" H 3600 5650 50 0001 C CNN
F 1 "GND" H 3605 5727 50 0000 C CNN
F 2 "" H 3600 5900 50 0001 C CNN
F 3 "" H 3600 5900 50 0001 C CNN
1 3600 5900
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR085
U 1 1 5D108346
P 6350 4000
F 0 "#PWR085" H 6350 3750 50 0001 C CNN
F 1 "GND" H 6355 3827 50 0000 C CNN
F 2 "" H 6350 4000 50 0001 C CNN
F 3 "" H 6350 4000 50 0001 C CNN
1 6350 4000
1 0 0 -1
$EndComp
$Comp
L Device:C C52
U 1 1 5D108E10
P 5600 3800
F 0 "C52" V 5348 3800 50 0000 C CNN
F 1 "680n" V 5439 3800 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 5638 3650 50 0001 C CNN
F 3 "~" H 5600 3800 50 0001 C CNN
1 5600 3800
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR084
U 1 1 5D1095A5
P 5600 4000
F 0 "#PWR084" H 5600 3750 50 0001 C CNN
F 1 "GND" V 5605 3872 50 0000 R CNN
F 2 "" H 5600 4000 50 0001 C CNN
F 3 "" H 5600 4000 50 0001 C CNN
1 5600 4000
1 0 0 -1
$EndComp
Wire Wire Line
5600 4000 5600 3950
Wire Wire Line
5750 3600 5600 3600
Wire Wire Line
5600 3600 5600 3650
$Comp
L Device:R R60
U 1 1 5D10FB73
P 5200 2100
F 0 "R60" H 5130 2054 50 0000 R CNN
F 1 "2k2" H 5130 2145 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 5130 2100 50 0001 C CNN
F 3 "~" H 5200 2100 50 0001 C CNN
1 5200 2100
-1 0 0 1
$EndComp
$Comp
L power:+5V #PWR083
U 1 1 5D11035B
P 5200 1850
F 0 "#PWR083" H 5200 1700 50 0001 C CNN
F 1 "+5V" H 5215 2023 50 0000 C CNN
F 2 "" H 5200 1850 50 0001 C CNN
F 3 "" H 5200 1850 50 0001 C CNN
1 5200 1850
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR075
U 1 1 5D110867
P 5000 1850
F 0 "#PWR075" H 5000 1700 50 0001 C CNN
F 1 "+5V" H 5015 2023 50 0000 C CNN
F 2 "" H 5000 1850 50 0001 C CNN
F 3 "" H 5000 1850 50 0001 C CNN
1 5000 1850
1 0 0 -1
$EndComp
Wire Wire Line
5000 1950 5000 1850
Wire Wire Line
5200 1950 5200 1850
$Comp
L Device:R R59
U 1 1 5D11026F
P 5000 2100
F 0 "R59" H 5069 2054 50 0000 L CNN
F 1 "2k2" H 5069 2145 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 4930 2100 50 0001 C CNN
F 3 "~" H 5000 2100 50 0001 C CNN
1 5000 2100
-1 0 0 1
$EndComp
Text Label 4900 2600 2 50 ~ 0
SCL
Text Label 4900 2700 2 50 ~ 0
SDA
Wire Wire Line
4800 2600 5000 2600
Wire Wire Line
4800 2700 5200 2700
Wire Wire Line
5000 2250 5000 2600
Connection ~ 5000 2600
Wire Wire Line
5000 2600 5750 2600
Wire Wire Line
5200 2250 5200 2700
Connection ~ 5200 2700
Wire Wire Line
5200 2700 5750 2700
Wire Wire Line
5750 2200 5600 2200
$Comp
L Connector:TestPoint TP52
U 1 1 5D2DC76E
P 4850 2400
F 0 "TP52" V 4850 2700 50 0000 C CNN
F 1 "TestPoint" V 4850 3000 50 0000 C CNN
F 2 "TestPoint:TestPoint_THTPad_1.0x1.0mm_Drill0.5mm" H 5050 2400 50 0001 C CNN
F 3 "~" H 5050 2400 50 0001 C CNN
1 4850 2400
0 -1 -1 0
$EndComp
Wire Wire Line
4850 2400 5750 2400
Wire Wire Line
2050 5600 2200 5600
Wire Wire Line
2050 5700 2200 5700
Wire Wire Line
2050 5800 2200 5800
Wire Wire Line
2050 5900 2200 5900
Wire Wire Line
2050 6000 2200 6000
NoConn ~ 2200 5600
NoConn ~ 2200 5700
NoConn ~ 2200 5800
NoConn ~ 2200 5900
NoConn ~ 2200 6000
Wire Wire Line
1550 5900 1350 5900
NoConn ~ 1350 5900
Wire Wire Line
1550 6000 1200 6000
Wire Wire Line
1200 6000 1200 5450
Text Label 1200 5300 1 50 ~ 0
VCC_PROG
$Comp
L power:PWR_FLAG #FLG0103
U 1 1 5D37855B
P 900 5250
F 0 "#FLG0103" H 900 5325 50 0001 C CNN
F 1 "PWR_FLAG" H 900 5423 50 0000 C CNN
F 2 "" H 900 5250 50 0001 C CNN
F 3 "~" H 900 5250 50 0001 C CNN
1 900 5250
1 0 0 -1
$EndComp
Wire Wire Line
1200 5450 900 5450
Wire Wire Line
900 5450 900 5250
Connection ~ 1200 5450
Wire Wire Line
1200 5450 1200 5300
$Comp
L Device:LED D2
U 1 1 5D443DF6
P 5650 1350
F 0 "D2" V 5689 1232 50 0000 R CNN
F 1 "yellow" V 5598 1232 50 0000 R CNN
F 2 "LED_SMD:LED_0805_2012Metric" H 5650 1350 50 0001 C CNN
F 3 "~" H 5650 1350 50 0001 C CNN
1 5650 1350
0 -1 -1 0
$EndComp
Wire Wire Line
6950 2900 7100 2900
$Comp
L Device:R R2
U 1 1 5D465E39
P 5650 1000
F 0 "R2" V 5765 1000 50 0000 C CNN
F 1 "510R" V 5856 1000 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 5580 1000 50 0001 C CNN
F 3 "~" H 5650 1000 50 0001 C CNN
1 5650 1000
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR02
U 1 1 5D46689F
P 5650 1550
F 0 "#PWR02" H 5650 1300 50 0001 C CNN
F 1 "GND" V 5655 1422 50 0000 R CNN
F 2 "" H 5650 1550 50 0001 C CNN
F 3 "" H 5650 1550 50 0001 C CNN
1 5650 1550
1 0 0 -1
$EndComp
Wire Wire Line
5750 2300 5450 2300
Wire Wire Line
5450 2300 5450 800
Wire Wire Line
5450 800 5650 800
Wire Wire Line
5650 800 5650 850
Wire Wire Line
5650 1200 5650 1150
Wire Wire Line
5650 1550 5650 1500
$Comp
L Device:R R3
U 1 1 5D4A05A5
P 7600 3050
F 0 "R3" V 7715 3050 50 0000 C CNN
F 1 "510R" V 7806 3050 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 7530 3050 50 0001 C CNN
F 3 "~" H 7600 3050 50 0001 C CNN
1 7600 3050
-1 0 0 1
$EndComp
$Comp
L Device:R R4
U 1 1 5D4A0B18
P 7950 3050
F 0 "R4" V 8065 3050 50 0000 C CNN
F 1 "510R" V 8156 3050 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric_Pad1.15x1.40mm_HandSolder" V 7880 3050 50 0001 C CNN
F 3 "~" H 7950 3050 50 0001 C CNN
1 7950 3050
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR03
U 1 1 5D4A1770
P 7600 3600
F 0 "#PWR03" H 7600 3350 50 0001 C CNN
F 1 "GND" V 7605 3472 50 0000 R CNN
F 2 "" H 7600 3600 50 0001 C CNN
F 3 "" H 7600 3600 50 0001 C CNN
1 7600 3600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR04
U 1 1 5D4A1A41
P 7950 3600
F 0 "#PWR04" H 7950 3350 50 0001 C CNN
F 1 "GND" V 7955 3472 50 0000 R CNN
F 2 "" H 7950 3600 50 0001 C CNN
F 3 "" H 7950 3600 50 0001 C CNN
1 7950 3600
1 0 0 -1
$EndComp
Wire Wire Line
7600 2800 7600 2900
Wire Wire Line
6950 2800 7600 2800
Wire Wire Line
7950 2700 7950 2900
Wire Wire Line
6950 2700 7950 2700
Wire Wire Line
7600 3250 7600 3200
Wire Wire Line
7950 3250 7950 3200
Wire Wire Line
7600 3600 7600 3550
Wire Wire Line
7950 3600 7950 3550
$Comp
L Device:C C3
U 1 1 5D2F6D24
P 5100 3800
F 0 "C3" V 4848 3800 50 0000 C CNN
F 1 "10n" V 4939 3800 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric_Pad1.15x1.40mm_HandSolder" H 5138 3650 50 0001 C CNN
F 3 "~" H 5100 3800 50 0001 C CNN
1 5100 3800
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR05
U 1 1 5D2F704C
P 5100 4000
F 0 "#PWR05" H 5100 3750 50 0001 C CNN
F 1 "GND" V 5105 3872 50 0000 R CNN
F 2 "" H 5100 4000 50 0001 C CNN
F 3 "" H 5100 4000 50 0001 C CNN
1 5100 4000
1 0 0 -1
$EndComp
Wire Wire Line
5100 3650 5100 3500
Connection ~ 5100 3500
Wire Wire Line
5100 3500 4750 3500
Wire Wire Line
5100 4000 5100 3950
$Comp
L Device:LED D4
U 1 1 5D4A0303
P 7950 3400
F 0 "D4" V 7989 3282 50 0000 R CNN
F 1 "red" V 7898 3282 50 0000 R CNN
F 2 "LED_SMD:LED_0805_2012Metric" H 7950 3400 50 0001 C CNN
F 3 "~" H 7950 3400 50 0001 C CNN
1 7950 3400
0 -1 -1 0
$EndComp
$Comp
L Device:LED D3
U 1 1 5D48D2D6
P 7600 3400
F 0 "D3" V 7639 3479 50 0000 L CNN
F 1 "green" V 7548 3479 50 0000 L CNN
F 2 "LED_SMD:LED_0805_2012Metric" H 7600 3400 50 0001 C CNN
F 3 "~" H 7600 3400 50 0001 C CNN
1 7600 3400
0 -1 -1 0
$EndComp
$Comp
L MCU_ST_STM8:STM8S003F3P U54
U 1 1 5D06A76B
P 6350 2900
F 0 "U54" H 6050 4100 50 0000 C CNN
F 1 "STM8S003F3P" H 6000 4000 50 0000 C CNN
F 2 "Package_SO:TSSOP-20_4.4x6.5mm_P0.65mm" H 6400 4000 50 0001 L CNN
F 3 "http://www.st.com/st-web-ui/static/active/en/resource/technical/document/datasheet/DM00024550.pdf" H 6300 2500 50 0001 C CNN
1 6350 2900
1 0 0 -1
$EndComp
$Comp
L Connector:TestPoint TP53
U 1 1 5D2DC88A
P 5600 2900
F 0 "TP53" V 5600 3200 50 0000 C CNN
F 1 "TestPoint" V 5600 3500 50 0000 C CNN
F 2 "TestPoint:TestPoint_THTPad_1.0x1.0mm_Drill0.5mm" H 5800 2900 50 0001 C CNN
F 3 "~" H 5800 2900 50 0001 C CNN
1 5600 2900
0 -1 -1 0
$EndComp
$Comp
L Connector:TestPoint TP54
U 1 1 5D2DCB61
P 5600 3000
F 0 "TP54" V 5600 3300 50 0000 C CNN
F 1 "TestPoint" V 5600 3600 50 0000 C CNN
F 2 "TestPoint:TestPoint_THTPad_1.0x1.0mm_Drill0.5mm" H 5800 3000 50 0001 C CNN
F 3 "~" H 5800 3000 50 0001 C CNN
1 5600 3000
0 -1 -1 0
$EndComp
$Comp
L Connector:TestPoint TP55
U 1 1 5D2DCCEB
P 5600 3100
F 0 "TP55" V 5600 3400 50 0000 C CNN
F 1 "TestPoint" V 5600 3700 50 0000 C CNN
F 2 "TestPoint:TestPoint_THTPad_1.0x1.0mm_Drill0.5mm" H 5800 3100 50 0001 C CNN
F 3 "~" H 5800 3100 50 0001 C CNN
1 5600 3100
0 -1 -1 0
$EndComp
$Comp
L Connector:TestPoint TP56
U 1 1 5D2DCE02
P 5600 3200
F 0 "TP56" V 5600 3500 50 0000 C CNN
F 1 "TestPoint" V 5600 3800 50 0000 C CNN
F 2 "TestPoint:TestPoint_THTPad_1.0x1.0mm_Drill0.5mm" H 5800 3200 50 0001 C CNN
F 3 "~" H 5800 3200 50 0001 C CNN
1 5600 3200
0 -1 -1 0
$EndComp
Wire Wire Line
5600 2900 5750 2900
Wire Wire Line
5750 3000 5600 3000
Wire Wire Line
5750 3100 5600 3100
Wire Wire Line
5750 3200 5600 3200
$Comp
L Device:Thermistor TH1
U 1 1 60E68270
P 4350 3750
F 0 "TH1" H 4455 3796 50 0000 L CNN
F 1 "Thermistor" H 4455 3705 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric" H 4350 3750 50 0001 C CNN
F 3 "~" H 4350 3750 50 0001 C CNN
1 4350 3750
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0101
U 1 1 60E69140
P 4350 4000
F 0 "#PWR0101" H 4350 3750 50 0001 C CNN
F 1 "GND" V 4355 3872 50 0000 R CNN
F 2 "" H 4350 4000 50 0001 C CNN
F 3 "" H 4350 4000 50 0001 C CNN
1 4350 4000
1 0 0 -1
$EndComp
Wire Wire Line
4350 3950 4350 4000
Wire Wire Line
4350 3300 4350 3550
Wire Wire Line
4350 3300 5750 3300
Wire Wire Line
6350 1200 6350 1650
NoConn ~ 5600 2200
NoConn ~ 3600 5500
NoConn ~ 7100 2900
$Comp
L Connector_Generic:Conn_01x02 J1
U 1 1 60EB0887
P 6250 5700
F 0 "J1" H 6330 5692 50 0000 L CNN
F 1 "Conn_01x02" H 6330 5601 50 0000 L CNN
F 2 "" H 6250 5700 50 0001 C CNN
F 3 "~" H 6250 5700 50 0001 C CNN
1 6250 5700
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR0102
U 1 1 60ED51ED
P 5950 5600
F 0 "#PWR0102" H 5950 5450 50 0001 C CNN
F 1 "+5V" H 5965 5773 50 0000 C CNN
F 2 "" H 5950 5600 50 0001 C CNN
F 3 "" H 5950 5600 50 0001 C CNN
1 5950 5600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR0104
U 1 1 60ED94A3
P 5950 5900
F 0 "#PWR0104" H 5950 5650 50 0001 C CNN
F 1 "GND" V 5955 5772 50 0000 R CNN
F 2 "" H 5950 5900 50 0001 C CNN
F 3 "" H 5950 5900 50 0001 C CNN
1 5950 5900
1 0 0 -1
$EndComp
Wire Wire Line
6050 5700 5950 5700
Wire Wire Line
5950 5700 5950 5600
Wire Wire Line
6050 5800 5950 5800
Wire Wire Line
5950 5800 5950 5900
Text Notes 900 7550 0 98 ~ 20
Power supply=5V DC
Text Notes 1400 6350 0 50 ~ 0
programming socket
Text Notes 3550 6350 0 50 ~ 0
UART socket
Text Notes 5850 6350 0 50 ~ 0
ower supply socket
$EndSCHEMATC

Wyświetl plik

@ -0,0 +1,77 @@
{
"board": {
"active_layer": 0,
"active_layer_preset": "",
"auto_track_width": true,
"hidden_nets": [],
"high_contrast_mode": 0,
"net_color_mode": 1,
"opacity": {
"pads": 1.0,
"tracks": 1.0,
"vias": 1.0,
"zones": 0.6
},
"ratsnest_display_mode": 0,
"selection_filter": {
"dimensions": true,
"footprints": true,
"graphics": true,
"keepouts": true,
"lockedItems": true,
"otherItems": true,
"pads": true,
"text": true,
"tracks": true,
"vias": true,
"zones": true
},
"visible_items": [
0,
1,
2,
3,
4,
5,
6,
7,
8,
9,
10,
11,
12,
13,
14,
15,
16,
17,
18,
19,
20,
21,
22,
23,
24,
25,
26,
27,
28,
29,
30,
32,
33,
34,
35,
36
],
"visible_layers": "0001017_80000001",
"zone_display_mode": 0
},
"meta": {
"filename": "PowerSupply.kicad_prl",
"version": 3
},
"project": {
"files": []
}
}

Wyświetl plik

@ -0,0 +1,453 @@
{
"board": {
"design_settings": {
"defaults": {
"board_outline_line_width": 0.15,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 1.5,
"height": 2.5,
"width": 2.5
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.508
}
},
"diff_pair_dimensions": [],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "error",
"silk_overlap": "error",
"skew_out_of_range": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
"rule_severitieslegacy_no_courtyard_defined": false,
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.075,
"min_hole_clearance": 0.0,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.19999999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"use_height_for_length_calcs": true
},
"track_widths": [
0.0,
0.254,
1.0,
2.0
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 1.0,
"drill": 0.5
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "PowerSupply.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"clearance": 0.254,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.3048,
"via_diameter": 1.3,
"via_drill": 0.6,
"wire_width": 6.0
},
{
"bus_width": 12.0,
"clearance": 2.0,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "high voltage",
"nets": [],
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.3048,
"via_diameter": 1.3,
"via_drill": 0.6,
"wire_width": 6.0
}
],
"meta": {
"version": 1
},
"net_colors": null
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"default_bus_thickness": 12.0,
"default_junction_size": 40.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"default_wire_thickness": 6.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.3
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 0
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"",
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"51b38b64-0629-4b79-94d6-5ec3d95b2708",
""
]
],
"text_variables": {}
}

Wyświetl plik

@ -1,264 +0,0 @@
update=04/07/2021 12:17:54
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.2
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.3048
TrackWidth2=0.254
TrackWidth3=1
TrackWidth4=2
ViaDiameter1=1.3
ViaDrill1=0.6
ViaDiameter2=1
ViaDrill2=0.5
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.2
SolderMaskMinWidth=0
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.254
TrackWidth=0.3048
ViaDiameter=1.3
ViaDrill=0.6
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[pcbnew/Netclasses/1]
Name=high voltage
Clearance=2
TrackWidth=0.3048
ViaDiameter=1.3
ViaDrill=0.6
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

Wyświetl plik

@ -1,916 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Device:C C40
U 1 1 5CD36164
P 3400 2950
F 0 "C40" H 3285 2904 50 0000 R CNN
F 1 "100n" H 3285 2995 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3438 2800 50 0001 C CNN
F 3 "~" H 3400 2950 50 0001 C CNN
1 3400 2950
-1 0 0 1
$EndComp
$Comp
L Device:L_Core_Ferrite L32
U 1 1 5CD96E48
P 2900 2600
F 0 "L32" V 3125 2600 50 0000 C CNN
F 1 "u68" V 3034 2600 50 0000 C CNN
F 2 "Inductor_SMD:L_1812_4532Metric_Pad1.30x3.40mm_HandSolder" H 2900 2600 50 0001 C CNN
F 3 "~" H 2900 2600 50 0001 C CNN
1 2900 2600
0 1 -1 0
$EndComp
$Comp
L Device:L_Core_Ferrite L33
U 1 1 5CD9781A
P 2900 4150
F 0 "L33" V 2811 4150 50 0000 C CNN
F 1 "u68" V 2720 4150 50 0000 C CNN
F 2 "Inductor_SMD:L_1812_4532Metric_Pad1.30x3.40mm_HandSolder" H 2900 4150 50 0001 C CNN
F 3 "~" H 2900 4150 50 0001 C CNN
1 2900 4150
0 1 -1 0
$EndComp
$Comp
L power:PWR_FLAG #FLG032
U 1 1 5CD9E263
P 1400 2450
F 0 "#FLG032" H 1400 2525 50 0001 C CNN
F 1 "PWR_FLAG" H 1400 2624 50 0000 C CNN
F 2 "" H 1400 2450 50 0001 C CNN
F 3 "~" H 1400 2450 50 0001 C CNN
1 1400 2450
-1 0 0 -1
$EndComp
Wire Wire Line
1400 2500 1400 2450
Wire Wire Line
2600 3600 2600 3250
Wire Wire Line
2750 4150 2600 4150
Wire Wire Line
2600 4150 2600 3900
Wire Wire Line
2750 2600 2600 2600
Wire Wire Line
2600 2600 2600 2800
Wire Wire Line
3050 2600 3250 2600
Connection ~ 3250 2600
$Comp
L power:GND #PWR042
U 1 1 5CDF8D9C
P 2250 3400
F 0 "#PWR042" H 2250 3150 50 0001 C CNN
F 1 "GND" H 2255 3227 50 0000 C CNN
F 2 "" H 2250 3400 50 0001 C CNN
F 3 "" H 2250 3400 50 0001 C CNN
1 2250 3400
-1 0 0 -1
$EndComp
Wire Wire Line
2600 3250 2250 3250
Wire Wire Line
2250 3250 2250 3400
$Comp
L power:PWR_FLAG #FLG034
U 1 1 5CE2CCD8
P 3250 2500
F 0 "#FLG034" H 3250 2575 50 0001 C CNN
F 1 "PWR_FLAG" H 3250 2674 50 0000 C CNN
F 2 "" H 3250 2500 50 0001 C CNN
F 3 "~" H 3250 2500 50 0001 C CNN
1 3250 2500
-1 0 0 -1
$EndComp
Wire Wire Line
3600 2600 3600 2500
Wire Wire Line
3250 2600 3400 2600
Wire Wire Line
3600 4250 3600 4150
Wire Wire Line
3250 2600 3250 2500
Connection ~ 1400 2500
$Comp
L power:+BATT #PWR049
U 1 1 5CFE9A02
P 3600 2500
F 0 "#PWR049" H 3600 2350 50 0001 C CNN
F 1 "+BATT" H 3615 2673 50 0000 C CNN
F 2 "" H 3600 2500 50 0001 C CNN
F 3 "" H 3600 2500 50 0001 C CNN
1 3600 2500
1 0 0 -1
$EndComp
$Comp
L power:-BATT #PWR050
U 1 1 5CFEAB3F
P 3600 4250
F 0 "#PWR050" H 3600 4100 50 0001 C CNN
F 1 "-BATT" H 3615 4423 50 0000 C CNN
F 2 "" H 3600 4250 50 0001 C CNN
F 3 "" H 3600 4250 50 0001 C CNN
1 3600 4250
-1 0 0 1
$EndComp
$Comp
L Switch:SW_DPDT_x2 SW31
U 1 1 5CF2C564
P 1950 2500
F 0 "SW31" H 1950 2785 50 0000 C CNN
F 1 "SW_DPDT_x2" H 1950 2694 50 0000 C CNN
F 2 "Button_Switch_THT:SW_E-Switch_EG1271_DPDT" H 1950 2500 50 0001 C CNN
F 3 "~" H 1950 2500 50 0001 C CNN
1 1950 2500
1 0 0 -1
$EndComp
$Comp
L Switch:SW_DPDT_x2 SW31
U 2 1 5CF2D41F
P 1950 4050
F 0 "SW31" H 1950 4335 50 0000 C CNN
F 1 "SW_DPDT_x2" H 1950 4244 50 0000 C CNN
F 2 "Button_Switch_THT:SW_E-Switch_EG1271_DPDT" H 1950 4050 50 0001 C CNN
F 3 "~" H 1950 4050 50 0001 C CNN
2 1950 4050
1 0 0 -1
$EndComp
Wire Wire Line
1400 2500 1400 2550
Wire Wire Line
1400 3950 1400 4050
$Comp
L Device:Battery_Cell BT32
U 1 1 5CD816BD
P 1400 3850
F 0 "BT32" H 1282 3946 50 0000 R CNN
F 1 "3V6" H 1282 3855 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 3910 50 0001 C CNN
F 3 "~" V 1400 3910 50 0001 C CNN
1 1400 3850
1 0 0 -1
$EndComp
Connection ~ 1400 4050
Wire Wire Line
1400 3250 1400 3300
Connection ~ 1400 3250
Wire Wire Line
600 3250 600 3350
$Comp
L power:GND #PWR033
U 1 1 5CDF8594
P 600 3350
F 0 "#PWR033" H 600 3100 50 0001 C CNN
F 1 "GND" H 605 3177 50 0000 C CNN
F 2 "" H 600 3350 50 0001 C CNN
F 3 "" H 600 3350 50 0001 C CNN
1 600 3350
-1 0 0 -1
$EndComp
Wire Wire Line
1400 4100 1400 4050
$Comp
L power:PWR_FLAG #FLG033
U 1 1 5CD97E81
P 1400 4100
F 0 "#FLG033" H 1400 4175 50 0001 C CNN
F 1 "PWR_FLAG" H 1400 4274 50 0000 C CNN
F 2 "" H 1400 4100 50 0001 C CNN
F 3 "~" H 1400 4100 50 0001 C CNN
1 1400 4100
1 0 0 1
$EndComp
Wire Wire Line
3250 4150 3400 4150
Wire Wire Line
3050 4150 3250 4150
Connection ~ 3250 4150
Wire Wire Line
3250 4250 3250 4150
$Comp
L power:PWR_FLAG #FLG035
U 1 1 5CE2D1AE
P 3250 4250
F 0 "#FLG035" H 3250 4325 50 0001 C CNN
F 1 "PWR_FLAG" H 3250 4424 50 0000 C CNN
F 2 "" H 3250 4250 50 0001 C CNN
F 3 "~" H 3250 4250 50 0001 C CNN
1 3250 4250
1 0 0 1
$EndComp
Text Notes 900 7150 0 98 ~ 20
Power supply= +2*3V6m -2*3V6
$Comp
L Device:Battery_Cell BT3
U 1 1 60FA2573
P 6650 2000
F 0 "BT3" H 6532 2096 50 0000 R CNN
F 1 "12V" H 6532 2005 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 2060 50 0001 C CNN
F 3 "~" V 6650 2060 50 0001 C CNN
1 6650 2000
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT5
U 1 1 610447D9
P 1400 3500
F 0 "BT5" H 1282 3596 50 0000 R CNN
F 1 "3V6" H 1282 3505 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 3560 50 0001 C CNN
F 3 "~" V 1400 3560 50 0001 C CNN
1 1400 3500
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT4
U 1 1 610491A7
P 1400 3100
F 0 "BT4" H 1282 3196 50 0000 R CNN
F 1 "3V6" H 1282 3105 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 3160 50 0001 C CNN
F 3 "~" V 1400 3160 50 0001 C CNN
1 1400 3100
1 0 0 -1
$EndComp
Wire Wire Line
1400 3600 1400 3650
Wire Wire Line
1400 3200 1400 3250
Wire Wire Line
2600 3100 2600 3250
Connection ~ 2600 3250
Wire Wire Line
1400 2850 1400 2900
$Comp
L Connector:TestPoint TP31
U 1 1 5D77DF7D
P 7950 4400
F 0 "TP31" V 7904 4588 50 0000 L CNN
F 1 "TestPoint" V 7995 4588 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 4400 50 0001 C CNN
F 3 "~" H 8150 4400 50 0001 C CNN
1 7950 4400
0 1 1 0
$EndComp
$Comp
L Device:C C1
U 1 1 60E42F76
P 3400 3700
F 0 "C1" H 3285 3654 50 0000 R CNN
F 1 "100n" H 3285 3745 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3438 3550 50 0001 C CNN
F 3 "~" H 3400 3700 50 0001 C CNN
1 3400 3700
-1 0 0 1
$EndComp
Wire Wire Line
3400 2600 3400 2800
Connection ~ 3400 2600
Wire Wire Line
3400 2600 3600 2600
Wire Wire Line
3400 3100 3400 3250
Wire Wire Line
3400 3850 3400 4150
Connection ~ 3400 4150
Wire Wire Line
3400 4150 3600 4150
Wire Wire Line
2600 3250 3400 3250
Connection ~ 3400 3250
Wire Wire Line
3400 3250 3400 3550
$Comp
L Device:Battery_Cell BT6
U 1 1 60E59F0C
P 6650 2550
F 0 "BT6" H 6768 2646 50 0000 L CNN
F 1 "12V" H 6768 2555 50 0000 L CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 2610 50 0001 C CNN
F 3 "~" V 6650 2610 50 0001 C CNN
1 6650 2550
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT1
U 1 1 60E5AA84
P 6650 3100
F 0 "BT1" H 6532 3196 50 0000 R CNN
F 1 "12V" H 6532 3105 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 3160 50 0001 C CNN
F 3 "~" V 6650 3160 50 0001 C CNN
1 6650 3100
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT7
U 1 1 60E5B66A
P 6650 3650
F 0 "BT7" H 6532 3746 50 0000 R CNN
F 1 "12V" H 6532 3655 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 3710 50 0001 C CNN
F 3 "~" V 6650 3710 50 0001 C CNN
1 6650 3650
1 0 0 -1
$EndComp
$Comp
L Jumper:Jumper_2_Open JP1
U 1 1 60E77BBB
P 7050 1950
F 0 "JP1" V 7004 2048 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 2048 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 1950 50 0001 C CNN
F 3 "~" H 7050 1950 50 0001 C CNN
1 7050 1950
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP2
U 1 1 60E79259
P 7050 2500
F 0 "JP2" V 7004 2598 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 2598 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 2500 50 0001 C CNN
F 3 "~" H 7050 2500 50 0001 C CNN
1 7050 2500
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP3
U 1 1 60E7A521
P 7050 3050
F 0 "JP3" V 7004 3148 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 3148 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 3050 50 0001 C CNN
F 3 "~" H 7050 3050 50 0001 C CNN
1 7050 3050
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP4
U 1 1 60E7B3E5
P 7050 3600
F 0 "JP4" V 7004 3698 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 3698 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 3600 50 0001 C CNN
F 3 "~" H 7050 3600 50 0001 C CNN
1 7050 3600
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP5
U 1 1 60E7E191
P 7050 4150
F 0 "JP5" V 7004 4248 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 4248 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 4150 50 0001 C CNN
F 3 "~" H 7050 4150 50 0001 C CNN
1 7050 4150
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP6
U 1 1 60E7E197
P 7050 4700
F 0 "JP6" V 7004 4798 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 4798 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 4700 50 0001 C CNN
F 3 "~" H 7050 4700 50 0001 C CNN
1 7050 4700
0 1 1 0
$EndComp
$Comp
L Device:Battery_Cell BT8
U 1 1 60E707F2
P 6650 4200
F 0 "BT8" H 6532 4296 50 0000 R CNN
F 1 "12V" H 6532 4205 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 4260 50 0001 C CNN
F 3 "~" V 6650 4260 50 0001 C CNN
1 6650 4200
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT9
U 1 1 60E707F8
P 6650 4750
F 0 "BT9" H 6532 4846 50 0000 R CNN
F 1 "12V" H 6532 4755 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 4810 50 0001 C CNN
F 3 "~" V 6650 4810 50 0001 C CNN
1 6650 4750
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR01
U 1 1 60EA85C5
P 6650 5050
F 0 "#PWR01" H 6650 4800 50 0001 C CNN
F 1 "GND" H 6655 4877 50 0000 C CNN
F 2 "" H 6650 5050 50 0001 C CNN
F 3 "" H 6650 5050 50 0001 C CNN
1 6650 5050
-1 0 0 -1
$EndComp
Wire Wire Line
6650 4850 6650 4950
Wire Wire Line
7050 4900 7050 4950
Wire Wire Line
7050 4950 6650 4950
Connection ~ 6650 4950
Wire Wire Line
6650 4950 6650 5050
Wire Wire Line
7050 3950 7050 3850
Wire Wire Line
6650 4000 6650 3850
Wire Wire Line
7050 3400 7050 3300
Wire Wire Line
6650 3450 6650 3300
Wire Wire Line
7050 2850 7050 2750
Wire Wire Line
6650 2900 6650 2750
Wire Wire Line
7050 1750 7050 1600
Wire Wire Line
7050 1600 6650 1600
Wire Wire Line
6650 1600 6650 1800
Wire Wire Line
7050 2150 7050 2200
Wire Wire Line
6650 2100 6650 2200
Wire Wire Line
7050 2200 6650 2200
Connection ~ 7050 2200
Wire Wire Line
7050 2200 7050 2300
Connection ~ 6650 2200
Wire Wire Line
6650 2200 6650 2350
Wire Wire Line
7050 2750 6650 2750
Connection ~ 7050 2750
Wire Wire Line
7050 2750 7050 2700
Connection ~ 6650 2750
Wire Wire Line
6650 2750 6650 2650
Wire Wire Line
7050 3300 6650 3300
Connection ~ 7050 3300
Wire Wire Line
7050 3300 7050 3250
Connection ~ 6650 3300
Wire Wire Line
6650 3300 6650 3200
Wire Wire Line
7050 3850 6650 3850
Connection ~ 7050 3850
Wire Wire Line
7050 3850 7050 3800
Connection ~ 6650 3850
Wire Wire Line
6650 3850 6650 3750
Wire Wire Line
6650 4550 6650 4400
Wire Wire Line
7050 4500 7050 4400
Wire Wire Line
7050 4400 6650 4400
Connection ~ 7050 4400
Wire Wire Line
7050 4400 7050 4350
Connection ~ 6650 4400
Wire Wire Line
6650 4400 6650 4300
Wire Wire Line
7050 4400 7950 4400
Wire Wire Line
7950 3850 7050 3850
Wire Wire Line
7950 3300 7050 3300
Wire Wire Line
7950 2750 7050 2750
Wire Wire Line
7950 2200 7050 2200
$Comp
L Connector:TestPoint TP4
U 1 1 60EF82F9
P 7950 3850
F 0 "TP4" V 7904 4038 50 0000 L CNN
F 1 "TestPoint" V 7995 4038 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 3850 50 0001 C CNN
F 3 "~" H 8150 3850 50 0001 C CNN
1 7950 3850
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP3
U 1 1 60EFA153
P 7950 3300
F 0 "TP3" V 7904 3488 50 0000 L CNN
F 1 "TestPoint" V 7995 3488 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 3300 50 0001 C CNN
F 3 "~" H 8150 3300 50 0001 C CNN
1 7950 3300
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP2
U 1 1 60EFC13D
P 7950 2750
F 0 "TP2" V 7904 2938 50 0000 L CNN
F 1 "TestPoint" V 7995 2938 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 2750 50 0001 C CNN
F 3 "~" H 8150 2750 50 0001 C CNN
1 7950 2750
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP1
U 1 1 60EFDE02
P 7950 2200
F 0 "TP1" V 7904 2388 50 0000 L CNN
F 1 "TestPoint" V 7995 2388 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 2200 50 0001 C CNN
F 3 "~" H 8150 2200 50 0001 C CNN
1 7950 2200
0 1 1 0
$EndComp
$Comp
L Mechanical:MountingHole_Pad H1
U 1 1 60F12C7E
P 3800 6050
F 0 "H1" H 3900 6099 50 0000 L CNN
F 1 "MountingHole_Pad" H 3900 6008 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 3800 6050 50 0001 C CNN
F 3 "~" H 3800 6050 50 0001 C CNN
1 3800 6050
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR02
U 1 1 60F141BA
P 3800 6200
F 0 "#PWR02" H 3800 5950 50 0001 C CNN
F 1 "GND" H 3805 6027 50 0000 C CNN
F 2 "" H 3800 6200 50 0001 C CNN
F 3 "" H 3800 6200 50 0001 C CNN
1 3800 6200
-1 0 0 -1
$EndComp
Wire Wire Line
3800 6200 3800 6150
$Comp
L Mechanical:MountingHole_Pad H2
U 1 1 60F188D7
P 4750 6050
F 0 "H2" H 4850 6099 50 0000 L CNN
F 1 "MountingHole_Pad" H 4850 6008 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 4750 6050 50 0001 C CNN
F 3 "~" H 4750 6050 50 0001 C CNN
1 4750 6050
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR03
U 1 1 60F188DD
P 4750 6200
F 0 "#PWR03" H 4750 5950 50 0001 C CNN
F 1 "GND" H 4755 6027 50 0000 C CNN
F 2 "" H 4750 6200 50 0001 C CNN
F 3 "" H 4750 6200 50 0001 C CNN
1 4750 6200
-1 0 0 -1
$EndComp
Wire Wire Line
4750 6200 4750 6150
$Comp
L Mechanical:MountingHole_Pad H3
U 1 1 60F1AA98
P 3800 6600
F 0 "H3" H 3900 6649 50 0000 L CNN
F 1 "MountingHole_Pad" H 3900 6558 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 3800 6600 50 0001 C CNN
F 3 "~" H 3800 6600 50 0001 C CNN
1 3800 6600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR04
U 1 1 60F1AA9E
P 3800 6750
F 0 "#PWR04" H 3800 6500 50 0001 C CNN
F 1 "GND" H 3805 6577 50 0000 C CNN
F 2 "" H 3800 6750 50 0001 C CNN
F 3 "" H 3800 6750 50 0001 C CNN
1 3800 6750
-1 0 0 -1
$EndComp
Wire Wire Line
3800 6750 3800 6700
$Comp
L Mechanical:MountingHole_Pad H4
U 1 1 60F1CE5F
P 4750 6600
F 0 "H4" H 4850 6649 50 0000 L CNN
F 1 "MountingHole_Pad" H 4850 6558 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 4750 6600 50 0001 C CNN
F 3 "~" H 4750 6600 50 0001 C CNN
1 4750 6600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR05
U 1 1 60F1CE65
P 4750 6750
F 0 "#PWR05" H 4750 6500 50 0001 C CNN
F 1 "GND" H 4755 6577 50 0000 C CNN
F 2 "" H 4750 6750 50 0001 C CNN
F 3 "" H 4750 6750 50 0001 C CNN
1 4750 6750
-1 0 0 -1
$EndComp
Wire Wire Line
4750 6750 4750 6700
$Comp
L Device:Battery_Cell BT2
U 1 1 6104DBBA
P 1400 2750
F 0 "BT2" H 1282 2846 50 0000 R CNN
F 1 "3V6" H 1282 2755 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 2810 50 0001 C CNN
F 3 "~" V 1400 2810 50 0001 C CNN
1 1400 2750
1 0 0 -1
$EndComp
Wire Wire Line
7950 1600 7050 1600
$Comp
L Connector:TestPoint TP5
U 1 1 60E2D53F
P 7950 1600
F 0 "TP5" V 7904 1788 50 0000 L CNN
F 1 "TestPoint" V 7995 1788 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 1600 50 0001 C CNN
F 3 "~" H 8150 1600 50 0001 C CNN
1 7950 1600
0 1 1 0
$EndComp
Wire Wire Line
2150 4150 2200 4150
Wire Wire Line
2200 4150 2200 4250
Wire Wire Line
2200 4250 1650 4250
Wire Wire Line
1650 4250 1650 4050
Wire Wire Line
1400 4050 1650 4050
Connection ~ 1650 4050
Wire Wire Line
1650 4050 1750 4050
Wire Wire Line
2150 3950 2300 3950
Wire Wire Line
2300 3950 2300 4150
Wire Wire Line
2300 4150 2600 4150
Connection ~ 2600 4150
Wire Wire Line
1400 2500 1700 2500
Wire Wire Line
2200 2600 2150 2600
Wire Wire Line
2200 2600 2200 2700
Wire Wire Line
2200 2700 1700 2700
Wire Wire Line
1700 2700 1700 2500
Connection ~ 1700 2500
Wire Wire Line
1700 2500 1750 2500
Wire Wire Line
2150 2400 2300 2400
Wire Wire Line
2300 2400 2300 2600
Wire Wire Line
2300 2600 2600 2600
Connection ~ 2600 2600
$Comp
L Device:C C3
U 1 1 60E7AEDC
P 2600 3750
F 0 "C3" H 2485 3704 50 0000 R CNN
F 1 "100n" H 2485 3795 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 2638 3600 50 0001 C CNN
F 3 "~" H 2600 3750 50 0001 C CNN
1 2600 3750
-1 0 0 1
$EndComp
$Comp
L Device:C C2
U 1 1 60E7DA67
P 2600 2950
F 0 "C2" H 2485 2904 50 0000 R CNN
F 1 "100n" H 2485 2995 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 2638 2800 50 0001 C CNN
F 3 "~" H 2600 2950 50 0001 C CNN
1 2600 2950
-1 0 0 1
$EndComp
$Comp
L Connector:Conn_01x03_Male J3
U 1 1 60E8BFDF
P 4350 3350
F 0 "J3" H 4322 3374 50 0000 R CNN
F 1 "Conn_01x03_Male" H 4650 3150 50 0000 R CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 4350 3350 50 0001 C CNN
F 3 "~" H 4350 3350 50 0001 C CNN
1 4350 3350
-1 0 0 -1
$EndComp
$Comp
L Connector:Conn_01x03_Female J4
U 1 1 60E8D1C3
P 5350 3350
F 0 "J4" H 5378 3376 50 0000 L CNN
F 1 "Conn_01x03_Female" H 5050 3150 50 0000 L CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_1x03_P2.54mm_Vertical" H 5350 3350 50 0001 C CNN
F 3 "~" H 5350 3350 50 0001 C CNN
1 5350 3350
1 0 0 1
$EndComp
Connection ~ 7050 1600
Wire Wire Line
3600 2600 4050 2600
Wire Wire Line
4050 2600 4050 3250
Wire Wire Line
4050 3250 4150 3250
Connection ~ 3600 2600
Wire Wire Line
4150 3450 4050 3450
Wire Wire Line
4050 3450 4050 4150
Wire Wire Line
4050 4150 3600 4150
Connection ~ 3600 4150
Wire Wire Line
4050 2600 5000 2600
Wire Wire Line
5000 2600 5000 3250
Wire Wire Line
5000 3250 5150 3250
Connection ~ 4050 2600
Wire Wire Line
5150 3450 5000 3450
Wire Wire Line
5000 3450 5000 4150
Wire Wire Line
5000 4150 4050 4150
Connection ~ 4050 4150
Wire Wire Line
3800 3350 3800 3450
Wire Wire Line
3800 3350 4150 3350
Wire Wire Line
5150 3350 4900 3350
Wire Wire Line
4900 3350 4900 3450
$Comp
L power:GND #PWR08
U 1 1 60ED4C97
P 3800 3450
F 0 "#PWR08" H 3800 3200 50 0001 C CNN
F 1 "GND" H 3805 3277 50 0000 C CNN
F 2 "" H 3800 3450 50 0001 C CNN
F 3 "" H 3800 3450 50 0001 C CNN
1 3800 3450
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR09
U 1 1 60ED8588
P 4900 3450
F 0 "#PWR09" H 4900 3200 50 0001 C CNN
F 1 "GND" H 4905 3277 50 0000 C CNN
F 2 "" H 4900 3450 50 0001 C CNN
F 3 "" H 4900 3450 50 0001 C CNN
1 4900 3450
-1 0 0 -1
$EndComp
$Comp
L Connector:Conn_01x03_Male J1
U 1 1 60EE211E
P 9450 2050
F 0 "J1" H 9422 2074 50 0000 R CNN
F 1 "Conn_01x03_Male" H 9750 1850 50 0000 R CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 9450 2050 50 0001 C CNN
F 3 "~" H 9450 2050 50 0001 C CNN
1 9450 2050
-1 0 0 -1
$EndComp
$Comp
L Connector:Conn_01x03_Female J2
U 1 1 60EE2124
P 10450 2050
F 0 "J2" H 10478 2076 50 0000 L CNN
F 1 "Conn_01x03_Female" H 10150 1850 50 0000 L CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_1x03_P2.54mm_Vertical" H 10450 2050 50 0001 C CNN
F 3 "~" H 10450 2050 50 0001 C CNN
1 10450 2050
1 0 0 1
$EndComp
Wire Wire Line
9150 1300 9150 1950
Wire Wire Line
9150 1950 9250 1950
Wire Wire Line
9150 1300 10100 1300
Wire Wire Line
10100 1300 10100 1950
Wire Wire Line
10100 1950 10250 1950
Connection ~ 9150 1300
Wire Wire Line
10250 2150 10100 2150
Wire Wire Line
8900 2050 9250 2050
Wire Wire Line
10250 2050 10000 2050
$Comp
L power:GND #PWR06
U 1 1 60EE213C
P 9050 2250
F 0 "#PWR06" H 9050 2000 50 0001 C CNN
F 1 "GND" H 9055 2077 50 0000 C CNN
F 2 "" H 9050 2250 50 0001 C CNN
F 3 "" H 9050 2250 50 0001 C CNN
1 9050 2250
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR07
U 1 1 60EE2142
P 10100 2250
F 0 "#PWR07" H 10100 2000 50 0001 C CNN
F 1 "GND" H 10105 2077 50 0000 C CNN
F 2 "" H 10100 2250 50 0001 C CNN
F 3 "" H 10100 2250 50 0001 C CNN
1 10100 2250
-1 0 0 -1
$EndComp
Wire Wire Line
9050 2150 9050 2250
Wire Wire Line
9050 2150 9250 2150
Wire Wire Line
10100 2150 10100 2250
NoConn ~ 10000 2050
NoConn ~ 8900 2050
Wire Wire Line
6650 1300 6650 1600
Wire Wire Line
6650 1300 9150 1300
Connection ~ 6650 1600
$Comp
L power:PWR_FLAG #FLG0101
U 1 1 60F49A53
P 900 3350
F 0 "#FLG0101" H 900 3425 50 0001 C CNN
F 1 "PWR_FLAG" H 900 3524 50 0000 C CNN
F 2 "" H 900 3350 50 0001 C CNN
F 3 "~" H 900 3350 50 0001 C CNN
1 900 3350
1 0 0 1
$EndComp
Wire Wire Line
900 3350 900 3250
Wire Wire Line
600 3250 900 3250
Connection ~ 900 3250
Wire Wire Line
900 3250 1400 3250
$EndSCHEMATC

Wyświetl plik

@ -1,916 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 1
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Device:C C40
U 1 1 5CD36164
P 3400 2950
F 0 "C40" H 3285 2904 50 0000 R CNN
F 1 "100n" H 3285 2995 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3438 2800 50 0001 C CNN
F 3 "~" H 3400 2950 50 0001 C CNN
1 3400 2950
-1 0 0 1
$EndComp
$Comp
L Device:L_Core_Ferrite L32
U 1 1 5CD96E48
P 2900 2600
F 0 "L32" V 3125 2600 50 0000 C CNN
F 1 "u68" V 3034 2600 50 0000 C CNN
F 2 "Inductor_SMD:L_1812_4532Metric_Pad1.30x3.40mm_HandSolder" H 2900 2600 50 0001 C CNN
F 3 "~" H 2900 2600 50 0001 C CNN
1 2900 2600
0 1 -1 0
$EndComp
$Comp
L Device:L_Core_Ferrite L33
U 1 1 5CD9781A
P 2900 4150
F 0 "L33" V 2811 4150 50 0000 C CNN
F 1 "u68" V 2720 4150 50 0000 C CNN
F 2 "Inductor_SMD:L_1812_4532Metric_Pad1.30x3.40mm_HandSolder" H 2900 4150 50 0001 C CNN
F 3 "~" H 2900 4150 50 0001 C CNN
1 2900 4150
0 1 -1 0
$EndComp
$Comp
L power:PWR_FLAG #FLG032
U 1 1 5CD9E263
P 1400 2450
F 0 "#FLG032" H 1400 2525 50 0001 C CNN
F 1 "PWR_FLAG" H 1400 2624 50 0000 C CNN
F 2 "" H 1400 2450 50 0001 C CNN
F 3 "~" H 1400 2450 50 0001 C CNN
1 1400 2450
-1 0 0 -1
$EndComp
Wire Wire Line
1400 2500 1400 2450
Wire Wire Line
2600 3600 2600 3250
Wire Wire Line
2750 4150 2600 4150
Wire Wire Line
2600 4150 2600 3900
Wire Wire Line
2750 2600 2600 2600
Wire Wire Line
2600 2600 2600 2800
Wire Wire Line
3050 2600 3250 2600
Connection ~ 3250 2600
$Comp
L power:GND #PWR042
U 1 1 5CDF8D9C
P 2250 3400
F 0 "#PWR042" H 2250 3150 50 0001 C CNN
F 1 "GND" H 2255 3227 50 0000 C CNN
F 2 "" H 2250 3400 50 0001 C CNN
F 3 "" H 2250 3400 50 0001 C CNN
1 2250 3400
-1 0 0 -1
$EndComp
Wire Wire Line
2600 3250 2250 3250
Wire Wire Line
2250 3250 2250 3400
$Comp
L power:PWR_FLAG #FLG034
U 1 1 5CE2CCD8
P 3250 2500
F 0 "#FLG034" H 3250 2575 50 0001 C CNN
F 1 "PWR_FLAG" H 3250 2674 50 0000 C CNN
F 2 "" H 3250 2500 50 0001 C CNN
F 3 "~" H 3250 2500 50 0001 C CNN
1 3250 2500
-1 0 0 -1
$EndComp
Wire Wire Line
3600 2600 3600 2500
Wire Wire Line
3250 2600 3400 2600
Wire Wire Line
3600 4250 3600 4150
Wire Wire Line
3250 2600 3250 2500
Connection ~ 1400 2500
$Comp
L power:+BATT #PWR049
U 1 1 5CFE9A02
P 3600 2500
F 0 "#PWR049" H 3600 2350 50 0001 C CNN
F 1 "+BATT" H 3615 2673 50 0000 C CNN
F 2 "" H 3600 2500 50 0001 C CNN
F 3 "" H 3600 2500 50 0001 C CNN
1 3600 2500
1 0 0 -1
$EndComp
$Comp
L power:-BATT #PWR050
U 1 1 5CFEAB3F
P 3600 4250
F 0 "#PWR050" H 3600 4100 50 0001 C CNN
F 1 "-BATT" H 3615 4423 50 0000 C CNN
F 2 "" H 3600 4250 50 0001 C CNN
F 3 "" H 3600 4250 50 0001 C CNN
1 3600 4250
-1 0 0 1
$EndComp
$Comp
L Switch:SW_DPDT_x2 SW31
U 1 1 5CF2C564
P 1950 2500
F 0 "SW31" H 1950 2785 50 0000 C CNN
F 1 "SW_DPDT_x2" H 1950 2694 50 0000 C CNN
F 2 "Button_Switch_THT:SW_E-Switch_EG1271_DPDT" H 1950 2500 50 0001 C CNN
F 3 "~" H 1950 2500 50 0001 C CNN
1 1950 2500
1 0 0 -1
$EndComp
$Comp
L Switch:SW_DPDT_x2 SW31
U 2 1 5CF2D41F
P 1950 4050
F 0 "SW31" H 1950 4335 50 0000 C CNN
F 1 "SW_DPDT_x2" H 1950 4244 50 0000 C CNN
F 2 "Button_Switch_THT:SW_E-Switch_EG1271_DPDT" H 1950 4050 50 0001 C CNN
F 3 "~" H 1950 4050 50 0001 C CNN
2 1950 4050
1 0 0 -1
$EndComp
Wire Wire Line
1400 2500 1400 2550
Wire Wire Line
1400 3950 1400 4050
$Comp
L Device:Battery_Cell BT32
U 1 1 5CD816BD
P 1400 3850
F 0 "BT32" H 1282 3946 50 0000 R CNN
F 1 "3V6" H 1282 3855 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 3910 50 0001 C CNN
F 3 "~" V 1400 3910 50 0001 C CNN
1 1400 3850
1 0 0 -1
$EndComp
Connection ~ 1400 4050
Wire Wire Line
1400 3250 1400 3300
Connection ~ 1400 3250
Wire Wire Line
600 3250 600 3350
$Comp
L power:GND #PWR033
U 1 1 5CDF8594
P 600 3350
F 0 "#PWR033" H 600 3100 50 0001 C CNN
F 1 "GND" H 605 3177 50 0000 C CNN
F 2 "" H 600 3350 50 0001 C CNN
F 3 "" H 600 3350 50 0001 C CNN
1 600 3350
-1 0 0 -1
$EndComp
Wire Wire Line
1400 4100 1400 4050
$Comp
L power:PWR_FLAG #FLG033
U 1 1 5CD97E81
P 1400 4100
F 0 "#FLG033" H 1400 4175 50 0001 C CNN
F 1 "PWR_FLAG" H 1400 4274 50 0000 C CNN
F 2 "" H 1400 4100 50 0001 C CNN
F 3 "~" H 1400 4100 50 0001 C CNN
1 1400 4100
1 0 0 1
$EndComp
Wire Wire Line
3250 4150 3400 4150
Wire Wire Line
3050 4150 3250 4150
Connection ~ 3250 4150
Wire Wire Line
3250 4250 3250 4150
$Comp
L power:PWR_FLAG #FLG035
U 1 1 5CE2D1AE
P 3250 4250
F 0 "#FLG035" H 3250 4325 50 0001 C CNN
F 1 "PWR_FLAG" H 3250 4424 50 0000 C CNN
F 2 "" H 3250 4250 50 0001 C CNN
F 3 "~" H 3250 4250 50 0001 C CNN
1 3250 4250
1 0 0 1
$EndComp
Text Notes 900 7150 0 98 ~ 20
Power supply= +2*3V6m -2*3V6
$Comp
L Device:Battery_Cell BT3
U 1 1 60FA2573
P 6650 2000
F 0 "BT3" H 6532 2096 50 0000 R CNN
F 1 "12V" H 6532 2005 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 2060 50 0001 C CNN
F 3 "~" V 6650 2060 50 0001 C CNN
1 6650 2000
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT5
U 1 1 610447D9
P 1400 3500
F 0 "BT5" H 1282 3596 50 0000 R CNN
F 1 "3V6" H 1282 3505 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 3560 50 0001 C CNN
F 3 "~" V 1400 3560 50 0001 C CNN
1 1400 3500
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT4
U 1 1 610491A7
P 1400 3100
F 0 "BT4" H 1282 3196 50 0000 R CNN
F 1 "3V6" H 1282 3105 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 3160 50 0001 C CNN
F 3 "~" V 1400 3160 50 0001 C CNN
1 1400 3100
1 0 0 -1
$EndComp
Wire Wire Line
1400 3600 1400 3650
Wire Wire Line
1400 3200 1400 3250
Wire Wire Line
2600 3100 2600 3250
Connection ~ 2600 3250
Wire Wire Line
1400 2850 1400 2900
$Comp
L Connector:TestPoint TP31
U 1 1 5D77DF7D
P 7950 4400
F 0 "TP31" V 7904 4588 50 0000 L CNN
F 1 "TestPoint" V 7995 4588 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 4400 50 0001 C CNN
F 3 "~" H 8150 4400 50 0001 C CNN
1 7950 4400
0 1 1 0
$EndComp
$Comp
L Device:C C1
U 1 1 60E42F76
P 3400 3700
F 0 "C1" H 3285 3654 50 0000 R CNN
F 1 "100n" H 3285 3745 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 3438 3550 50 0001 C CNN
F 3 "~" H 3400 3700 50 0001 C CNN
1 3400 3700
-1 0 0 1
$EndComp
Wire Wire Line
3400 2600 3400 2800
Connection ~ 3400 2600
Wire Wire Line
3400 2600 3600 2600
Wire Wire Line
3400 3100 3400 3250
Wire Wire Line
3400 3850 3400 4150
Connection ~ 3400 4150
Wire Wire Line
3400 4150 3600 4150
Wire Wire Line
2600 3250 3400 3250
Connection ~ 3400 3250
Wire Wire Line
3400 3250 3400 3550
$Comp
L Device:Battery_Cell BT6
U 1 1 60E59F0C
P 6650 2550
F 0 "BT6" H 6768 2646 50 0000 L CNN
F 1 "12V" H 6768 2555 50 0000 L CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 2610 50 0001 C CNN
F 3 "~" V 6650 2610 50 0001 C CNN
1 6650 2550
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT1
U 1 1 60E5AA84
P 6650 3100
F 0 "BT1" H 6532 3196 50 0000 R CNN
F 1 "12V" H 6532 3105 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 3160 50 0001 C CNN
F 3 "~" V 6650 3160 50 0001 C CNN
1 6650 3100
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT7
U 1 1 60E5B66A
P 6650 3650
F 0 "BT7" H 6532 3746 50 0000 R CNN
F 1 "12V" H 6532 3655 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 3710 50 0001 C CNN
F 3 "~" V 6650 3710 50 0001 C CNN
1 6650 3650
1 0 0 -1
$EndComp
$Comp
L Jumper:Jumper_2_Open JP1
U 1 1 60E77BBB
P 7050 1950
F 0 "JP1" V 7004 2048 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 2048 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 1950 50 0001 C CNN
F 3 "~" H 7050 1950 50 0001 C CNN
1 7050 1950
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP2
U 1 1 60E79259
P 7050 2500
F 0 "JP2" V 7004 2598 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 2598 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 2500 50 0001 C CNN
F 3 "~" H 7050 2500 50 0001 C CNN
1 7050 2500
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP3
U 1 1 60E7A521
P 7050 3050
F 0 "JP3" V 7004 3148 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 3148 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 3050 50 0001 C CNN
F 3 "~" H 7050 3050 50 0001 C CNN
1 7050 3050
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP4
U 1 1 60E7B3E5
P 7050 3600
F 0 "JP4" V 7004 3698 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 3698 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 3600 50 0001 C CNN
F 3 "~" H 7050 3600 50 0001 C CNN
1 7050 3600
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP5
U 1 1 60E7E191
P 7050 4150
F 0 "JP5" V 7004 4248 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 4248 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 4150 50 0001 C CNN
F 3 "~" H 7050 4150 50 0001 C CNN
1 7050 4150
0 1 1 0
$EndComp
$Comp
L Jumper:Jumper_2_Open JP6
U 1 1 60E7E197
P 7050 4700
F 0 "JP6" V 7004 4798 50 0000 L CNN
F 1 "Jumper_2_Open" V 7095 4798 50 0000 L CNN
F 2 "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm" H 7050 4700 50 0001 C CNN
F 3 "~" H 7050 4700 50 0001 C CNN
1 7050 4700
0 1 1 0
$EndComp
$Comp
L Device:Battery_Cell BT8
U 1 1 60E707F2
P 6650 4200
F 0 "BT8" H 6532 4296 50 0000 R CNN
F 1 "12V" H 6532 4205 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 4260 50 0001 C CNN
F 3 "~" V 6650 4260 50 0001 C CNN
1 6650 4200
1 0 0 -1
$EndComp
$Comp
L Device:Battery_Cell BT9
U 1 1 60E707F8
P 6650 4750
F 0 "BT9" H 6532 4846 50 0000 R CNN
F 1 "12V" H 6532 4755 50 0000 R CNN
F 2 "PowerSupply:27ABatteryHolder" V 6650 4810 50 0001 C CNN
F 3 "~" V 6650 4810 50 0001 C CNN
1 6650 4750
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR01
U 1 1 60EA85C5
P 6650 5050
F 0 "#PWR01" H 6650 4800 50 0001 C CNN
F 1 "GND" H 6655 4877 50 0000 C CNN
F 2 "" H 6650 5050 50 0001 C CNN
F 3 "" H 6650 5050 50 0001 C CNN
1 6650 5050
-1 0 0 -1
$EndComp
Wire Wire Line
6650 4850 6650 4950
Wire Wire Line
7050 4900 7050 4950
Wire Wire Line
7050 4950 6650 4950
Connection ~ 6650 4950
Wire Wire Line
6650 4950 6650 5050
Wire Wire Line
7050 3950 7050 3850
Wire Wire Line
6650 4000 6650 3850
Wire Wire Line
7050 3400 7050 3300
Wire Wire Line
6650 3450 6650 3300
Wire Wire Line
7050 2850 7050 2750
Wire Wire Line
6650 2900 6650 2750
Wire Wire Line
7050 1750 7050 1600
Wire Wire Line
7050 1600 6650 1600
Wire Wire Line
6650 1600 6650 1800
Wire Wire Line
7050 2150 7050 2200
Wire Wire Line
6650 2100 6650 2200
Wire Wire Line
7050 2200 6650 2200
Connection ~ 7050 2200
Wire Wire Line
7050 2200 7050 2300
Connection ~ 6650 2200
Wire Wire Line
6650 2200 6650 2350
Wire Wire Line
7050 2750 6650 2750
Connection ~ 7050 2750
Wire Wire Line
7050 2750 7050 2700
Connection ~ 6650 2750
Wire Wire Line
6650 2750 6650 2650
Wire Wire Line
7050 3300 6650 3300
Connection ~ 7050 3300
Wire Wire Line
7050 3300 7050 3250
Connection ~ 6650 3300
Wire Wire Line
6650 3300 6650 3200
Wire Wire Line
7050 3850 6650 3850
Connection ~ 7050 3850
Wire Wire Line
7050 3850 7050 3800
Connection ~ 6650 3850
Wire Wire Line
6650 3850 6650 3750
Wire Wire Line
6650 4550 6650 4400
Wire Wire Line
7050 4500 7050 4400
Wire Wire Line
7050 4400 6650 4400
Connection ~ 7050 4400
Wire Wire Line
7050 4400 7050 4350
Connection ~ 6650 4400
Wire Wire Line
6650 4400 6650 4300
Wire Wire Line
7050 4400 7950 4400
Wire Wire Line
7950 3850 7050 3850
Wire Wire Line
7950 3300 7050 3300
Wire Wire Line
7950 2750 7050 2750
Wire Wire Line
7950 2200 7050 2200
$Comp
L Connector:TestPoint TP4
U 1 1 60EF82F9
P 7950 3850
F 0 "TP4" V 7904 4038 50 0000 L CNN
F 1 "TestPoint" V 7995 4038 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 3850 50 0001 C CNN
F 3 "~" H 8150 3850 50 0001 C CNN
1 7950 3850
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP3
U 1 1 60EFA153
P 7950 3300
F 0 "TP3" V 7904 3488 50 0000 L CNN
F 1 "TestPoint" V 7995 3488 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 3300 50 0001 C CNN
F 3 "~" H 8150 3300 50 0001 C CNN
1 7950 3300
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP2
U 1 1 60EFC13D
P 7950 2750
F 0 "TP2" V 7904 2938 50 0000 L CNN
F 1 "TestPoint" V 7995 2938 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 2750 50 0001 C CNN
F 3 "~" H 8150 2750 50 0001 C CNN
1 7950 2750
0 1 1 0
$EndComp
$Comp
L Connector:TestPoint TP1
U 1 1 60EFDE02
P 7950 2200
F 0 "TP1" V 7904 2388 50 0000 L CNN
F 1 "TestPoint" V 7995 2388 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 2200 50 0001 C CNN
F 3 "~" H 8150 2200 50 0001 C CNN
1 7950 2200
0 1 1 0
$EndComp
$Comp
L Mechanical:MountingHole_Pad H1
U 1 1 60F12C7E
P 3800 6050
F 0 "H1" H 3900 6099 50 0000 L CNN
F 1 "MountingHole_Pad" H 3900 6008 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 3800 6050 50 0001 C CNN
F 3 "~" H 3800 6050 50 0001 C CNN
1 3800 6050
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR02
U 1 1 60F141BA
P 3800 6200
F 0 "#PWR02" H 3800 5950 50 0001 C CNN
F 1 "GND" H 3805 6027 50 0000 C CNN
F 2 "" H 3800 6200 50 0001 C CNN
F 3 "" H 3800 6200 50 0001 C CNN
1 3800 6200
-1 0 0 -1
$EndComp
Wire Wire Line
3800 6200 3800 6150
$Comp
L Mechanical:MountingHole_Pad H2
U 1 1 60F188D7
P 4750 6050
F 0 "H2" H 4850 6099 50 0000 L CNN
F 1 "MountingHole_Pad" H 4850 6008 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 4750 6050 50 0001 C CNN
F 3 "~" H 4750 6050 50 0001 C CNN
1 4750 6050
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR03
U 1 1 60F188DD
P 4750 6200
F 0 "#PWR03" H 4750 5950 50 0001 C CNN
F 1 "GND" H 4755 6027 50 0000 C CNN
F 2 "" H 4750 6200 50 0001 C CNN
F 3 "" H 4750 6200 50 0001 C CNN
1 4750 6200
-1 0 0 -1
$EndComp
Wire Wire Line
4750 6200 4750 6150
$Comp
L Mechanical:MountingHole_Pad H3
U 1 1 60F1AA98
P 3800 6600
F 0 "H3" H 3900 6649 50 0000 L CNN
F 1 "MountingHole_Pad" H 3900 6558 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 3800 6600 50 0001 C CNN
F 3 "~" H 3800 6600 50 0001 C CNN
1 3800 6600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR04
U 1 1 60F1AA9E
P 3800 6750
F 0 "#PWR04" H 3800 6500 50 0001 C CNN
F 1 "GND" H 3805 6577 50 0000 C CNN
F 2 "" H 3800 6750 50 0001 C CNN
F 3 "" H 3800 6750 50 0001 C CNN
1 3800 6750
-1 0 0 -1
$EndComp
Wire Wire Line
3800 6750 3800 6700
$Comp
L Mechanical:MountingHole_Pad H4
U 1 1 60F1CE5F
P 4750 6600
F 0 "H4" H 4850 6649 50 0000 L CNN
F 1 "MountingHole_Pad" H 4850 6558 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm_Pad" H 4750 6600 50 0001 C CNN
F 3 "~" H 4750 6600 50 0001 C CNN
1 4750 6600
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR05
U 1 1 60F1CE65
P 4750 6750
F 0 "#PWR05" H 4750 6500 50 0001 C CNN
F 1 "GND" H 4755 6577 50 0000 C CNN
F 2 "" H 4750 6750 50 0001 C CNN
F 3 "" H 4750 6750 50 0001 C CNN
1 4750 6750
-1 0 0 -1
$EndComp
Wire Wire Line
4750 6750 4750 6700
$Comp
L Device:Battery_Cell BT2
U 1 1 6104DBBA
P 1400 2750
F 0 "BT2" H 1282 2846 50 0000 R CNN
F 1 "3V6" H 1282 2755 50 0000 R CNN
F 2 "PowerSupply:MR18650BatteryHolder" V 1400 2810 50 0001 C CNN
F 3 "~" V 1400 2810 50 0001 C CNN
1 1400 2750
1 0 0 -1
$EndComp
Wire Wire Line
7950 1600 7050 1600
$Comp
L Connector:TestPoint TP5
U 1 1 60E2D53F
P 7950 1600
F 0 "TP5" V 7904 1788 50 0000 L CNN
F 1 "TestPoint" V 7995 1788 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D3.0mm" H 8150 1600 50 0001 C CNN
F 3 "~" H 8150 1600 50 0001 C CNN
1 7950 1600
0 1 1 0
$EndComp
Wire Wire Line
2150 4150 2200 4150
Wire Wire Line
2200 4150 2200 4250
Wire Wire Line
2200 4250 1650 4250
Wire Wire Line
1650 4250 1650 4050
Wire Wire Line
1400 4050 1650 4050
Connection ~ 1650 4050
Wire Wire Line
1650 4050 1750 4050
Wire Wire Line
2150 3950 2300 3950
Wire Wire Line
2300 3950 2300 4150
Wire Wire Line
2300 4150 2600 4150
Connection ~ 2600 4150
Wire Wire Line
1400 2500 1700 2500
Wire Wire Line
2200 2600 2150 2600
Wire Wire Line
2200 2600 2200 2700
Wire Wire Line
2200 2700 1700 2700
Wire Wire Line
1700 2700 1700 2500
Connection ~ 1700 2500
Wire Wire Line
1700 2500 1750 2500
Wire Wire Line
2150 2400 2300 2400
Wire Wire Line
2300 2400 2300 2600
Wire Wire Line
2300 2600 2600 2600
Connection ~ 2600 2600
$Comp
L Device:C C3
U 1 1 60E7AEDC
P 2600 3750
F 0 "C3" H 2485 3704 50 0000 R CNN
F 1 "100n" H 2485 3795 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 2638 3600 50 0001 C CNN
F 3 "~" H 2600 3750 50 0001 C CNN
1 2600 3750
-1 0 0 1
$EndComp
$Comp
L Device:C C2
U 1 1 60E7DA67
P 2600 2950
F 0 "C2" H 2485 2904 50 0000 R CNN
F 1 "100n" H 2485 2995 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 2638 2800 50 0001 C CNN
F 3 "~" H 2600 2950 50 0001 C CNN
1 2600 2950
-1 0 0 1
$EndComp
$Comp
L Connector:Conn_01x03_Male J3
U 1 1 60E8BFDF
P 4350 3350
F 0 "J3" H 4322 3374 50 0000 R CNN
F 1 "Conn_01x03_Male" H 4650 3150 50 0000 R CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 4350 3350 50 0001 C CNN
F 3 "~" H 4350 3350 50 0001 C CNN
1 4350 3350
-1 0 0 -1
$EndComp
$Comp
L Connector:Conn_01x03_Female J4
U 1 1 60E8D1C3
P 5350 3350
F 0 "J4" H 5378 3376 50 0000 L CNN
F 1 "Conn_01x03_Female" H 5050 3150 50 0000 L CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_1x03_P2.54mm_Vertical" H 5350 3350 50 0001 C CNN
F 3 "~" H 5350 3350 50 0001 C CNN
1 5350 3350
1 0 0 1
$EndComp
Connection ~ 7050 1600
Wire Wire Line
3600 2600 4050 2600
Wire Wire Line
4050 2600 4050 3250
Wire Wire Line
4050 3250 4150 3250
Connection ~ 3600 2600
Wire Wire Line
4150 3450 4050 3450
Wire Wire Line
4050 3450 4050 4150
Wire Wire Line
4050 4150 3600 4150
Connection ~ 3600 4150
Wire Wire Line
4050 2600 5000 2600
Wire Wire Line
5000 2600 5000 3250
Wire Wire Line
5000 3250 5150 3250
Connection ~ 4050 2600
Wire Wire Line
5150 3450 5000 3450
Wire Wire Line
5000 3450 5000 4150
Wire Wire Line
5000 4150 4050 4150
Connection ~ 4050 4150
Wire Wire Line
3800 3350 3800 3450
Wire Wire Line
3800 3350 4150 3350
Wire Wire Line
5150 3350 4900 3350
Wire Wire Line
4900 3350 4900 3450
$Comp
L power:GND #PWR08
U 1 1 60ED4C97
P 3800 3450
F 0 "#PWR08" H 3800 3200 50 0001 C CNN
F 1 "GND" H 3805 3277 50 0000 C CNN
F 2 "" H 3800 3450 50 0001 C CNN
F 3 "" H 3800 3450 50 0001 C CNN
1 3800 3450
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR09
U 1 1 60ED8588
P 4900 3450
F 0 "#PWR09" H 4900 3200 50 0001 C CNN
F 1 "GND" H 4905 3277 50 0000 C CNN
F 2 "" H 4900 3450 50 0001 C CNN
F 3 "" H 4900 3450 50 0001 C CNN
1 4900 3450
-1 0 0 -1
$EndComp
$Comp
L Connector:Conn_01x03_Male J1
U 1 1 60EE211E
P 9450 2050
F 0 "J1" H 9422 2074 50 0000 R CNN
F 1 "Conn_01x03_Male" H 9750 1850 50 0000 R CNN
F 2 "Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical" H 9450 2050 50 0001 C CNN
F 3 "~" H 9450 2050 50 0001 C CNN
1 9450 2050
-1 0 0 -1
$EndComp
$Comp
L Connector:Conn_01x03_Female J2
U 1 1 60EE2124
P 10450 2050
F 0 "J2" H 10478 2076 50 0000 L CNN
F 1 "Conn_01x03_Female" H 10150 1850 50 0000 L CNN
F 2 "Connector_PinSocket_2.54mm:PinSocket_1x03_P2.54mm_Vertical" H 10450 2050 50 0001 C CNN
F 3 "~" H 10450 2050 50 0001 C CNN
1 10450 2050
1 0 0 1
$EndComp
Wire Wire Line
9150 1300 9150 1950
Wire Wire Line
9150 1950 9250 1950
Wire Wire Line
9150 1300 10100 1300
Wire Wire Line
10100 1300 10100 1950
Wire Wire Line
10100 1950 10250 1950
Connection ~ 9150 1300
Wire Wire Line
10250 2150 10100 2150
Wire Wire Line
8900 2050 9250 2050
Wire Wire Line
10250 2050 10000 2050
$Comp
L power:GND #PWR06
U 1 1 60EE213C
P 9050 2250
F 0 "#PWR06" H 9050 2000 50 0001 C CNN
F 1 "GND" H 9055 2077 50 0000 C CNN
F 2 "" H 9050 2250 50 0001 C CNN
F 3 "" H 9050 2250 50 0001 C CNN
1 9050 2250
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR07
U 1 1 60EE2142
P 10100 2250
F 0 "#PWR07" H 10100 2000 50 0001 C CNN
F 1 "GND" H 10105 2077 50 0000 C CNN
F 2 "" H 10100 2250 50 0001 C CNN
F 3 "" H 10100 2250 50 0001 C CNN
1 10100 2250
-1 0 0 -1
$EndComp
Wire Wire Line
9050 2150 9050 2250
Wire Wire Line
9050 2150 9250 2150
Wire Wire Line
10100 2150 10100 2250
NoConn ~ 10000 2050
NoConn ~ 8900 2050
Wire Wire Line
6650 1300 6650 1600
Wire Wire Line
6650 1300 9150 1300
Connection ~ 6650 1600
$Comp
L power:PWR_FLAG #FLG?
U 1 1 60F49A53
P 900 3350
F 0 "#FLG?" H 900 3425 50 0001 C CNN
F 1 "PWR_FLAG" H 900 3524 50 0000 C CNN
F 2 "" H 900 3350 50 0001 C CNN
F 3 "~" H 900 3350 50 0001 C CNN
1 900 3350
1 0 0 1
$EndComp
Wire Wire Line
900 3350 900 3250
Wire Wire Line
600 3250 900 3250
Connection ~ 900 3250
Wire Wire Line
900 3250 1400 3250
$EndSCHEMATC

Wyświetl plik

@ -1,3 +0,0 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

Wyświetl plik

@ -1,3 +0,0 @@
(fp_lib_table
(lib (name PowerSupply)(type KiCad)(uri ${KIPRJMOD}/PowerSupply.pretty)(options "")(descr ""))
)

Wyświetl plik

@ -1,3 +0,0 @@
(sym_lib_table
(lib (name powersupply-rescue)(type Legacy)(uri ${KIPRJMOD}/powersupply-rescue.lib)(options "")(descr ""))
)

Wyświetl plik

@ -0,0 +1,652 @@
(kicad_sch (version 20210621) (generator eeschema)
(uuid e3e14aeb-3698-40de-b470-0655b7e3c7e4)
(paper "A4")
(lib_symbols
(symbol "Analog_ADC:MCP3425A0T-ECH" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -10.16 7.62 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "MCP3425A0T-ECH" (id 1) (at 2.54 7.62 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/22072b.pdf" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "Sigma-Delta ADC Converter 16bit I2C 1ch" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Single Delta-Sigma 16bit Analog to Digital Converter, I2C Interface, SOT-23-6" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "SOT?23* SOT?23*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "MCP3425A0T-ECH_0_1"
(polyline
(pts
(xy -5.08 6.35)
(xy 8.89 6.35)
(xy 8.89 0)
(xy 8.89 -6.35)
(xy -5.08 -6.35)
(xy -11.43 0)
(xy -5.08 6.35)
)
(stroke (width 0.254)) (fill (type background))
)
)
(symbol "MCP3425A0T-ECH_1_1"
(pin passive line (at -12.7 2.54 0) (length 3.81)
(name "Vin+" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -10.16 90) (length 3.81)
(name "Vss" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 2.54 180) (length 3.81)
(name "SCL" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 0 180) (length 3.81)
(name "SDA" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 10.16 270) (length 3.81)
(name "Vdd" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -12.7 -2.54 0) (length 3.81)
(name "Vin-" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.635 2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C" (id 1) (at 0.635 -2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0.9652 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_0_1"
(polyline
(pts
(xy -2.032 -0.762)
(xy 2.032 -0.762)
)
(stroke (width 0.508)) (fill (type none))
)
(polyline
(pts
(xy -2.032 0.762)
(xy 2.032 0.762)
)
(stroke (width 0.508)) (fill (type none))
)
)
(symbol "C_1_1"
(pin passive line (at 0 3.81 270) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:CP" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "C" (id 0) (at 0.635 2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "CP" (id 1) (at 0.635 -2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (id 2) (at 0.9652 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Polarized capacitor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "CP_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "CP_0_1"
(rectangle (start -2.286 0.508) (end 2.286 1.016)
(stroke (width 0)) (fill (type none))
)
(rectangle (start 2.286 -0.508) (end -2.286 -1.016)
(stroke (width 0)) (fill (type outline))
)
(polyline
(pts
(xy -1.778 2.286)
(xy -0.762 2.286)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy -1.27 2.794)
(xy -1.27 1.778)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "CP_1_1"
(pin passive line (at 0 3.81 270) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "R" (id 0) (at 2.032 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "R" (id 1) (at 0 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at -1.778 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R res resistor" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_0_1"
(rectangle (start -1.016 -2.54) (end 1.016 2.54)
(stroke (width 0.254)) (fill (type none))
)
)
(symbol "R_1_1"
(pin passive line (at 0 3.81 270) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+5V\"" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+5V_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0)) (fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "+5V_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+5V" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (id 0) (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0)) (fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 78.74 104.14) (diameter 1.016) (color 0 0 0 0))
(junction (at 78.74 115.57) (diameter 1.016) (color 0 0 0 0))
(junction (at 115.57 80.01) (diameter 1.016) (color 0 0 0 0))
(junction (at 115.57 90.17) (diameter 1.016) (color 0 0 0 0))
(wire (pts (xy 78.74 92.71) (xy 74.93 92.71))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 26f27a74-8bc1-4ff5-9644-f2d4a17a5f4f)
)
(wire (pts (xy 78.74 93.98) (xy 78.74 92.71))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 44c82ca3-b251-4dec-8729-b019f7a1f633)
)
(wire (pts (xy 78.74 104.14) (xy 78.74 101.6))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 7f1a0e5a-28e2-48c3-a59c-42e02512d0a9)
)
(wire (pts (xy 78.74 104.14) (xy 78.74 106.68))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid b01da7f2-9470-4c01-8983-748b5f6ba2f5)
)
(wire (pts (xy 78.74 115.57) (xy 78.74 114.3))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 19b93ab6-633f-49ea-940e-31603f8c0241)
)
(wire (pts (xy 78.74 118.11) (xy 78.74 115.57))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid f53ce9db-5456-422f-a449-14da068e39d3)
)
(wire (pts (xy 86.36 104.14) (xy 78.74 104.14))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid e96a516c-146f-4b54-8f70-5eec1f332ab3)
)
(wire (pts (xy 86.36 109.22) (xy 86.36 115.57))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 007eb0c2-37c3-46e9-9782-35c0f38a10dd)
)
(wire (pts (xy 86.36 115.57) (xy 78.74 115.57))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid a6bc8a93-d07f-4e86-83f2-ba6b5821b3b7)
)
(wire (pts (xy 93.98 104.14) (xy 96.52 104.14))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 7288a656-f9f8-4cac-bdc5-22c7a5bca770)
)
(wire (pts (xy 93.98 109.22) (xy 96.52 109.22))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 3aed427e-e4b5-4697-b44c-ffac9976d0ac)
)
(wire (pts (xy 96.52 104.14) (xy 101.6 109.22))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 727a2dda-c6e6-41b9-b247-c61674c71c08)
)
(wire (pts (xy 101.6 104.14) (xy 96.52 109.22))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 4d9a7d5c-76d8-4ccc-ab9f-d27027d69351)
)
(wire (pts (xy 101.6 104.14) (xy 102.87 104.14))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 8b9679de-ce64-415d-8387-825e320d6836)
)
(wire (pts (xy 101.6 109.22) (xy 102.87 109.22))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 973c863a-da98-4c90-9d46-572a8db70b48)
)
(wire (pts (xy 115.57 78.74) (xy 115.57 80.01))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 8f5bb48c-1114-49ce-923e-dd6b0601e27f)
)
(wire (pts (xy 115.57 80.01) (xy 115.57 90.17))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid be86423c-4bf1-4fbe-887b-9afa31179e19)
)
(wire (pts (xy 115.57 96.52) (xy 115.57 90.17))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 53402aec-30da-4b88-ae0f-e6bf1fb19dfa)
)
(wire (pts (xy 115.57 118.11) (xy 115.57 116.84))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid ab234d3d-e6ea-4112-8800-6c5805fb1489)
)
(wire (pts (xy 116.84 80.01) (xy 115.57 80.01))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 87967639-2bdb-4ff4-9528-0f8ef095216c)
)
(wire (pts (xy 116.84 90.17) (xy 115.57 90.17))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid d2678d65-8bad-4cb4-8b40-70b83f3a7cd0)
)
(wire (pts (xy 124.46 80.01) (xy 127 80.01))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 393c8bf4-3101-4800-b851-d783b4bf11b5)
)
(wire (pts (xy 124.46 90.17) (xy 127 90.17))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 8054bcab-9a6b-47b3-bf26-2489413a8509)
)
(wire (pts (xy 127 80.01) (xy 127 82.55))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid cffbaa8d-417f-476d-a36e-feda52b3cd1b)
)
(wire (pts (xy 127 90.17) (xy 127 92.71))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 641ad7b9-11ea-41d7-bae4-1a2017ea2f13)
)
(wire (pts (xy 128.27 104.14) (xy 132.08 104.14))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid fbb0c0d3-9cae-4a42-813b-1f7396e14d40)
)
(wire (pts (xy 128.27 106.68) (xy 132.08 106.68))
(stroke (width 0) (type solid) (color 0 0 0 0))
(uuid 65abd6b5-9202-4884-b38d-0d95e8aebc1b)
)
(global_label "analog_output" (shape input) (at 74.93 92.71 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 9c0d75dd-c18e-4e38-9253-f4e8801e8569)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "SCL" (shape input) (at 132.08 104.14 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid c458c284-dabd-4a1d-9ce7-ca21618da4c7)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(global_label "SDA" (shape bidirectional) (at 132.08 106.68 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f9ab8ccc-cd03-48ac-858f-66b529b67496)
(property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(symbol (lib_id "power:+5V") (at 115.57 78.74 0)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006171621e)
(property "Reference" "#PWR01" (id 0) (at 115.57 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (id 1) (at 114.0968 77.8002 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (id 2) (at 115.57 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 115.57 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 468cd254-26b5-41c5-ae57-c0aa05b48a3d))
)
(symbol (lib_id "power:GND") (at 78.74 118.11 0)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716263)
(property "Reference" "#PWR04" (id 0) (at 78.74 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 78.867 122.5042 0))
(property "Footprint" "" (id 2) (at 78.74 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 78.74 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid e3a8bed8-ad40-434f-a847-a9d9b521710f))
)
(symbol (lib_id "power:GND") (at 115.57 118.11 0)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716229)
(property "Reference" "#PWR05" (id 0) (at 115.57 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 115.697 122.5042 0))
(property "Footprint" "" (id 2) (at 115.57 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 115.57 118.11 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f0e32f48-0a32-42c7-8d8c-9e183e293d69))
)
(symbol (lib_id "power:GND") (at 127 82.55 0)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716252)
(property "Reference" "#PWR02" (id 0) (at 127 88.9 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 127.127 86.9442 0))
(property "Footprint" "" (id 2) (at 127 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 127 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c57e3d3e-9e7f-4720-84f0-eb46e02e605e))
)
(symbol (lib_id "power:GND") (at 127 92.71 0)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716218)
(property "Reference" "#PWR03" (id 0) (at 127 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (id 1) (at 127.127 97.1042 0))
(property "Footprint" "" (id 2) (at 127 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 127 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6aab813d-45be-4b35-b7ba-a358dfc76d45))
)
(symbol (lib_id "Device:R") (at 78.74 97.79 180)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716248)
(property "Reference" "R1" (id 0) (at 80.518 96.6216 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "0R" (id 1) (at 80.518 98.933 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Resistor_SMD:R_0805_2012Metric" (id 2) (at 80.518 97.79 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 78.74 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C17477" (id 4) (at 78.74 97.79 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 2b04b9c1-23fe-4a86-a151-82d1d986e823))
(pin "2" (uuid 06bb9b2d-2a4a-4e97-b94a-d58fae715cd0))
)
(symbol (lib_id "Device:R") (at 78.74 110.49 180)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716242)
(property "Reference" "R4" (id 0) (at 80.518 109.3216 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "27k" (id 1) (at 80.518 111.633 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "Resistor_SMD:R_0805_2012Metric" (id 2) (at 80.518 110.49 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 78.74 110.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C17593" (id 4) (at 78.74 110.49 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7996c112-0336-437a-b61f-cc6ffe7057dc))
(pin "2" (uuid 186dc555-6226-49d5-8e75-34ff4c70bb6a))
)
(symbol (lib_id "Device:R") (at 90.17 104.14 270)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716236)
(property "Reference" "R2" (id 0) (at 90.17 98.8822 90))
(property "Value" "0R" (id 1) (at 90.17 101.1936 90))
(property "Footprint" "Resistor_SMD:R_0805_2012Metric" (id 2) (at 90.17 102.362 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 90.17 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C17477" (id 4) (at 90.17 104.14 90)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 6563620d-3933-4735-b5b4-673528d58d02))
(pin "2" (uuid 6d5f9fcb-26fc-4d75-84dd-23a859893dec))
)
(symbol (lib_id "Device:R") (at 90.17 109.22 270)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006171623c)
(property "Reference" "R3" (id 0) (at 90.17 112.141 90))
(property "Value" "0R" (id 1) (at 90.17 114.4524 90))
(property "Footprint" "Resistor_SMD:R_0805_2012Metric" (id 2) (at 90.17 107.442 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 90.17 109.22 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C17477" (id 4) (at 90.17 109.22 90)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7328d159-19cf-49d1-b0c0-2f9d26820eee))
(pin "2" (uuid 4d95f3d4-8b3b-4010-9763-1641921317e8))
)
(symbol (lib_id "Device:CP") (at 120.65 80.01 90)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716230)
(property "Reference" "C1" (id 0) (at 120.65 73.533 90))
(property "Value" "10u" (id 1) (at 120.65 75.8444 90))
(property "Footprint" "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B" (id 2) (at 124.46 79.0448 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 120.65 80.01 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid de713a24-507b-4dd6-9f72-4cf3c834a84d))
(pin "2" (uuid 4db88510-6816-4a05-acad-9ba6cc00bc5c))
)
(symbol (lib_id "Device:C") (at 120.65 90.17 270)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061716212)
(property "Reference" "C2" (id 0) (at 120.65 83.7692 90))
(property "Value" "100n" (id 1) (at 120.65 86.0806 90))
(property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 116.84 91.1352 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 120.65 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C282732" (id 4) (at 120.65 90.17 90)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 277aa265-9f15-4013-97e2-0fb726b211bd))
(pin "2" (uuid afccb886-70fe-456d-8810-a22bb180439d))
)
(symbol (lib_id "Analog_ADC:MCP3425A0T-ECH") (at 115.57 106.68 0)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006171620c)
(property "Reference" "U2" (id 0) (at 106.68 93.98 0))
(property "Value" "MCP3425A0T-ECH" (id 1) (at 106.68 96.52 0))
(property "Footprint" "Package_TO_SOT_SMD:SOT-23-6_Handsoldering" (id 2) (at 115.57 106.68 0)
(effects (font (size 1.27 1.27) italic) hide)
)
(property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/22072b.pdf" (id 3) (at 115.57 106.68 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 59a2b83e-7f4b-41ae-8885-9c29a737f13f))
(pin "2" (uuid 1a9443c5-f7a0-47a7-b64a-0ca9ec609fbb))
(pin "3" (uuid 20b9f3e4-0396-4146-8368-e70cad073ae7))
(pin "4" (uuid ff71924d-9739-43bd-8420-b28cbfa0f046))
(pin "5" (uuid 885e7085-b97e-4b78-9e37-93edc668dad2))
(pin "6" (uuid 3071e38e-27e8-46a8-8d0a-3efc60a58b97))
)
)

Wyświetl plik

@ -1,241 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 6 6
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Analog_ADC:MCP3425A0T-ECH U?
U 1 1 6171620C
P 4550 4200
AR Path="/5CF2BB44/6171620C" Ref="U?" Part="1"
AR Path="/616FF04D/6171620C" Ref="U2" Part="1"
F 0 "U2" H 4200 4700 50 0000 C CNN
F 1 "MCP3425A0T-ECH" H 4200 4600 50 0000 C CNN
F 2 "Package_TO_SOT_SMD:SOT-23-6_Handsoldering" H 4550 4200 50 0001 C CIN
F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/22072b.pdf" H 4550 4200 50 0001 C CNN
1 4550 4200
1 0 0 -1
$EndComp
$Comp
L Device:C C?
U 1 1 61716212
P 4750 3550
AR Path="/5CF2BB44/61716212" Ref="C?" Part="1"
AR Path="/616FF04D/61716212" Ref="C2" Part="1"
F 0 "C2" V 4498 3550 50 0000 C CNN
F 1 "100n" V 4589 3550 50 0000 C CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 4788 3400 50 0001 C CNN
F 3 "~" H 4750 3550 50 0001 C CNN
F 4 "C282732" V 4750 3550 50 0001 C CNN "LCSC"
1 4750 3550
0 1 1 0
$EndComp
$Comp
L power:GND #PWR?
U 1 1 61716218
P 5000 3650
AR Path="/5CF2BB44/61716218" Ref="#PWR?" Part="1"
AR Path="/616FF04D/61716218" Ref="#PWR03" Part="1"
F 0 "#PWR03" H 5000 3400 50 0001 C CNN
F 1 "GND" H 5005 3477 50 0000 C CNN
F 2 "" H 5000 3650 50 0001 C CNN
F 3 "" H 5000 3650 50 0001 C CNN
1 5000 3650
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR?
U 1 1 6171621E
P 4550 3100
AR Path="/5CF2BB44/6171621E" Ref="#PWR?" Part="1"
AR Path="/616FF04D/6171621E" Ref="#PWR01" Part="1"
F 0 "#PWR01" H 4550 2950 50 0001 C CNN
F 1 "+5V" H 4492 3137 50 0000 R CNN
F 2 "" H 4550 3100 50 0001 C CNN
F 3 "" H 4550 3100 50 0001 C CNN
1 4550 3100
1 0 0 -1
$EndComp
Wire Wire Line
4550 3800 4550 3550
Wire Wire Line
4600 3550 4550 3550
Connection ~ 4550 3550
Wire Wire Line
4900 3550 5000 3550
Wire Wire Line
5000 3550 5000 3650
$Comp
L power:GND #PWR?
U 1 1 61716229
P 4550 4650
AR Path="/5CF2BB44/61716229" Ref="#PWR?" Part="1"
AR Path="/616FF04D/61716229" Ref="#PWR05" Part="1"
F 0 "#PWR05" H 4550 4400 50 0001 C CNN
F 1 "GND" H 4555 4477 50 0000 C CNN
F 2 "" H 4550 4650 50 0001 C CNN
F 3 "" H 4550 4650 50 0001 C CNN
1 4550 4650
1 0 0 -1
$EndComp
Wire Wire Line
4550 4650 4550 4600
$Comp
L Device:CP C?
U 1 1 61716230
P 4750 3150
AR Path="/5CF2BB44/61716230" Ref="C?" Part="1"
AR Path="/616FF04D/61716230" Ref="C1" Part="1"
F 0 "C1" V 5005 3150 50 0000 C CNN
F 1 "10u" V 4914 3150 50 0000 C CNN
F 2 "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B" H 4788 3000 50 0001 C CNN
F 3 "~" H 4750 3150 50 0001 C CNN
1 4750 3150
0 -1 -1 0
$EndComp
Wire Wire Line
4550 3100 4550 3150
Wire Wire Line
4600 3150 4550 3150
Connection ~ 4550 3150
Wire Wire Line
4550 3150 4550 3550
$Comp
L power:GND #PWR?
U 1 1 61716252
P 5000 3250
AR Path="/5CF2BB44/61716252" Ref="#PWR?" Part="1"
AR Path="/616FF04D/61716252" Ref="#PWR02" Part="1"
F 0 "#PWR02" H 5000 3000 50 0001 C CNN
F 1 "GND" H 5005 3077 50 0000 C CNN
F 2 "" H 5000 3250 50 0001 C CNN
F 3 "" H 5000 3250 50 0001 C CNN
1 5000 3250
1 0 0 -1
$EndComp
Wire Wire Line
4900 3150 5000 3150
Wire Wire Line
5000 3150 5000 3250
Wire Wire Line
5050 4100 5200 4100
Wire Wire Line
5050 4200 5200 4200
Text GLabel 5200 4100 2 50 Input ~ 0
SCL
Text GLabel 5200 4200 2 50 BiDi ~ 0
SDA
Wire Wire Line
4000 4100 4050 4100
Wire Wire Line
4000 4300 4050 4300
Wire Wire Line
3700 4100 3800 4100
Wire Wire Line
3800 4100 4000 4300
Wire Wire Line
4000 4100 3800 4300
Wire Wire Line
3700 4300 3800 4300
$Comp
L Device:R R?
U 1 1 61716236
P 3550 4100
AR Path="/5CF2BB44/61716236" Ref="R?" Part="1"
AR Path="/616FF04D/61716236" Ref="R2" Part="1"
F 0 "R2" V 3343 4100 50 0000 C CNN
F 1 "0R" V 3434 4100 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 3480 4100 50 0001 C CNN
F 3 "~" H 3550 4100 50 0001 C CNN
F 4 "C17477" V 3550 4100 50 0001 C CNN "LCSC"
1 3550 4100
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 6171623C
P 3550 4300
AR Path="/5CF2BB44/6171623C" Ref="R?" Part="1"
AR Path="/616FF04D/6171623C" Ref="R3" Part="1"
F 0 "R3" V 3665 4300 50 0000 C CNN
F 1 "0R" V 3756 4300 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 3480 4300 50 0001 C CNN
F 3 "~" H 3550 4300 50 0001 C CNN
F 4 "C17477" V 3550 4300 50 0001 C CNN "LCSC"
1 3550 4300
0 1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 61716242
P 3100 4350
AR Path="/5CF2BB44/61716242" Ref="R?" Part="1"
AR Path="/616FF04D/61716242" Ref="R4" Part="1"
F 0 "R4" H 3030 4304 50 0000 R CNN
F 1 "27k" H 3030 4395 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 3030 4350 50 0001 C CNN
F 3 "~" H 3100 4350 50 0001 C CNN
F 4 "C17593" H 3100 4350 50 0001 C CNN "LCSC"
1 3100 4350
-1 0 0 1
$EndComp
$Comp
L Device:R R?
U 1 1 61716248
P 3100 3850
AR Path="/5CF2BB44/61716248" Ref="R?" Part="1"
AR Path="/616FF04D/61716248" Ref="R1" Part="1"
F 0 "R1" H 3030 3804 50 0000 R CNN
F 1 "0R" H 3030 3895 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 3030 3850 50 0001 C CNN
F 3 "~" H 3100 3850 50 0001 C CNN
F 4 "C17477" H 3100 3850 50 0001 C CNN "LCSC"
1 3100 3850
-1 0 0 1
$EndComp
Wire Wire Line
3400 4300 3400 4550
Wire Wire Line
3400 4550 3100 4550
Connection ~ 3100 4550
Wire Wire Line
3100 4550 3100 4500
Wire Wire Line
3100 4650 3100 4550
Wire Wire Line
3400 4100 3100 4100
Connection ~ 3100 4100
Wire Wire Line
3100 4100 3100 4200
Wire Wire Line
3100 4100 3100 4000
$Comp
L power:GND #PWR?
U 1 1 61716263
P 3100 4650
AR Path="/5CF2BB44/61716263" Ref="#PWR?" Part="1"
AR Path="/616FF04D/61716263" Ref="#PWR04" Part="1"
F 0 "#PWR04" H 3100 4400 50 0001 C CNN
F 1 "GND" H 3105 4477 50 0000 C CNN
F 2 "" H 3100 4650 50 0001 C CNN
F 3 "" H 3100 4650 50 0001 C CNN
1 3100 4650
1 0 0 -1
$EndComp
Wire Wire Line
3100 3700 3100 3650
Wire Wire Line
3100 3650 2950 3650
Text GLabel 2950 3650 0 50 Input ~ 0
analog_output
$EndSCHEMATC

Wyświetl plik

@ -1,11 +0,0 @@
EESchema-DOCLIB Version 2.0
#
$CMP Nucleo32
F https://www.st.com/resource/en/user_manual/dm00231744-stm32-nucleo32-boards-mb1180-stmicroelectronics.pdf
$ENDCMP
#
$CMP STM8S105K4B6
F https://www.farnell.com/datasheets/1973125.pdf
$ENDCMP
#
#End Doc Library

Wyświetl plik

@ -1,108 +0,0 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# Nucleo32
#
DEF Nucleo32 U 0 40 Y Y 1 F N
F0 "U" 0 150 50 H V C CNN
F1 "Nucleo32" 0 100 50 H V C CNN
F2 "" 0 150 50 H I C CNN
F3 "" 0 150 50 H I C CNN
DRAW
S -450 0 400 -1500 0 1 0 f
X PA9/D1 1 -550 -50 100 R 50 50 1 1 I
X PF0/D7 10 -550 -950 100 R 50 50 1 1 I
X PF1/D8 11 -550 -1050 100 R 50 50 1 1 I
X PA8/D9 12 -550 -1150 100 R 50 50 1 1 I
X PA11/D10 13 -550 -1250 100 R 50 50 1 1 I
X PB5/D11 14 -550 -1350 100 R 50 50 1 1 I
X PB4/D12 15 -550 -1450 100 R 50 50 1 1 I
X PB3/D13 16 500 -1450 100 L 50 50 1 1 I
X +3V3 17 500 -1350 100 L 50 50 1 1 I
X AREF 18 500 -1250 100 L 50 50 1 1 I
X PA0/A0 19 500 -1150 100 L 50 50 1 1 I
X PA10/D0 2 -550 -150 100 R 50 50 1 1 I
X PA1/A1 20 500 -1050 100 L 50 50 1 1 I
X PA3/A2 21 500 -950 100 L 50 50 1 1 I
X PA4/A3 22 500 -850 100 L 50 50 1 1 I
X PA5/A4 23 500 -750 100 L 50 50 1 1 I
X PA6/A5 24 500 -650 100 L 50 50 1 1 I
X PA7/A6 25 500 -550 100 L 50 50 1 1 I
X PA2/A7 26 500 -450 100 L 50 50 1 1 I
X +5V 27 500 -350 100 L 50 50 1 1 I
X NRST 28 500 -250 100 L 50 50 1 1 I
X GND 29 500 -150 100 L 50 50 1 1 I
X NRST 3 -550 -250 100 R 50 50 1 1 I
X VIN 30 500 -50 100 L 50 50 1 1 I
X GND 4 -550 -350 100 R 50 50 1 1 I
X PA12/D2 5 -550 -450 100 R 50 50 1 1 I
X PB0/D3 6 -550 -550 100 R 50 50 1 1 I
X PB7/D4 7 -550 -650 100 R 50 50 1 1 I
X PB6/D5 8 -550 -750 100 R 50 50 1 1 I
X PB1/D6 9 -550 -850 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# SSD1306
#
DEF SSD1306 U 0 40 Y Y 1 F N
F0 "U" 0 150 50 H V C CNN
F1 "SSD1306" 0 50 50 H V C CNN
F2 "IonizationChamber:SSD1306" 0 150 50 H I C CNN
F3 "" 0 150 50 H I C CNN
DRAW
T 0 400 -150 50 0 0 0 128x64 Normal 0 C C
T 0 400 -250 50 0 0 0 pixels Normal 0 C C
S 0 0 600 -400 0 0 0 f
X GND 1 -100 -50 100 R 50 50 1 1 W
X VCC 2 -100 -150 100 R 50 50 1 1 W
X SCL 3 -100 -250 100 R 50 50 1 1 I
X SDA 4 -100 -350 100 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# STM8S105K4B6
#
DEF STM8S105K4B6 U 0 40 Y Y 1 F N
F0 "U" 0 200 50 H V C CNN
F1 "STM8S105K4B6" 0 100 50 H V C CNN
F2 "IonizationChamber:DIP-32_400_ELL" 0 200 50 H I C CNN
F3 "" 0 200 50 H I C CNN
DRAW
S -1250 0 1350 -1600 0 1 0 f
X ADC_ETR/TIM2_CH2/(HS)_PD3 1 -1350 -50 100 R 50 50 1 1 B
X VCAP 10 -1350 -950 100 R 50 50 1 1 B
X VDD 11 -1350 -1050 100 R 50 50 1 1 W
X VDDIO 12 -1350 -1150 100 R 50 50 1 1 W
X AIN12/PF4 13 -1350 -1250 100 R 50 50 1 1 B
X VDDA 14 -1350 -1350 100 R 50 50 1 1 W
X VSSA 15 -1350 -1450 100 R 50 50 1 1 W
X [I2C_SDA]_AIN5/PB5 16 -1350 -1550 100 R 50 50 1 1 B
X PB4/AIN4_[I2C_SCL] 17 1450 -1550 100 L 50 50 1 1 B
X PB3/AIN3_[TIM1_ETR] 18 1450 -1450 100 L 50 50 1 1 B
X PB2/AIN2_[TIM1_CH3N] 19 1450 -1350 100 L 50 50 1 1 B
X [BEEP]_TIM2_CH1/(HS)_PD4 2 -1350 -150 100 R 50 50 1 1 B
X PB1/AIN1__[TIM1_CH2N] 20 1450 -1250 100 L 50 50 1 1 B
X PB0/AIN0_[TIM1_CH1N] 21 1450 -1150 100 L 50 50 1 1 B
X PE5/SPI_NSS 22 1450 -1050 100 L 50 50 1 1 B
X PC1_(HS)/TIM1_CH1/UART2_CK 23 1450 -950 100 L 50 50 1 1 B
X PC2_(HS)/TIM1_CH2 24 1450 -850 100 L 50 50 1 1 B
X PC3_(HS)/TIM1_CH3 25 1450 -750 100 L 50 50 1 1 B
X PC4_(HS)/TIM1_CH4 26 1450 -650 100 L 50 50 1 1 B
X PC5_(HS)/SPI_SCK 27 1450 -550 100 L 50 50 1 1 B
X PC6_(HS)/SPI_MOSI 28 1450 -450 100 L 50 50 1 1 B
X PC7_(HS)/SPI_MISO 29 1450 -350 100 L 50 50 1 1 B
X UART2_TX/PD5 3 -1350 -250 100 R 50 50 1 1 B
X PD0_(HS)/TIM3_CH2_[TIM1_BKIN]_[CLK_CCO] 30 1450 -250 100 L 50 50 1 1 B
X PD1_(HS)/SWIM 31 1450 -150 100 L 50 50 1 1 B
X PD2_(HS)/TIM3_CH1_[TIM2_CH3] 32 1450 -50 100 L 50 50 1 1 B
X UART2_RX/PD6 4 -1350 -350 100 R 50 50 1 1 B
X [TIM1_CH4]_TLI/PD7 5 -1350 -450 100 R 50 50 1 1 B
X NRST 6 -1350 -550 100 R 50 50 1 1 B
X OSCIN/PA1 7 -1350 -650 100 R 50 50 1 1 B
X OSCOUT/PA2 8 -1350 -750 100 R 50 50 1 1 B
X VSS 9 -1350 -850 100 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
#End Library

Wyświetl plik

@ -0,0 +1,104 @@
(kicad_sch (version 20210621) (generator eeschema)
(uuid 1739230b-b932-46b9-a2e3-5d517446c3d8)
(paper "A4")
(lib_symbols
(symbol "Mechanical:MountingHole" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "H" (id 0) (at 0 5.08 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "MountingHole" (id 1) (at 0 3.175 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "mounting hole" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Mounting Hole without connection" (id 5) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "MountingHole*" (id 6) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "MountingHole_0_1"
(circle (center 0 0) (radius 1.27) (stroke (width 1.27)) (fill (type none)))
)
)
)
(symbol (lib_id "Mechanical:MountingHole") (at 92.71 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006117057a)
(property "Reference" "H1" (id 0) (at 95.25 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "MountingHole" (id 1) (at 95.25 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "MountingHole:MountingHole_3.5mm" (id 2) (at 92.71 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 92.71 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(symbol (lib_id "Mechanical:MountingHole") (at 92.71 76.2 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061171bae)
(property "Reference" "H3" (id 0) (at 95.25 75.0316 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "MountingHole" (id 1) (at 95.25 77.343 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "MountingHole:MountingHole_3.5mm" (id 2) (at 92.71 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 92.71 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(symbol (lib_id "Mechanical:MountingHole") (at 111.76 69.85 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-00006117199e)
(property "Reference" "H2" (id 0) (at 114.3 68.6816 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "MountingHole" (id 1) (at 114.3 70.993 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "MountingHole:MountingHole_3.5mm" (id 2) (at 111.76 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 111.76 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
)
(symbol (lib_id "Mechanical:MountingHole") (at 111.76 76.2 0) (unit 1)
(in_bom yes) (on_board yes)
(uuid 00000000-0000-0000-0000-000061171eb4)
(property "Reference" "H4" (id 0) (at 114.3 75.0316 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "MountingHole" (id 1) (at 114.3 77.343 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "MountingHole:MountingHole_3.5mm" (id 2) (at 111.76 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (id 3) (at 111.76 76.2 0)
(effects (font (size 1.27 1.27)) hide)
)
)
)

Wyświetl plik

@ -1,60 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 4 6
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Comp
L Mechanical:MountingHole H1
U 1 1 6117057A
P 3650 2750
F 0 "H1" H 3750 2796 50 0000 L CNN
F 1 "MountingHole" H 3750 2705 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm" H 3650 2750 50 0001 C CNN
F 3 "~" H 3650 2750 50 0001 C CNN
1 3650 2750
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole H2
U 1 1 6117199E
P 4400 2750
F 0 "H2" H 4500 2796 50 0000 L CNN
F 1 "MountingHole" H 4500 2705 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm" H 4400 2750 50 0001 C CNN
F 3 "~" H 4400 2750 50 0001 C CNN
1 4400 2750
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole H3
U 1 1 61171BAE
P 3650 3000
F 0 "H3" H 3750 3046 50 0000 L CNN
F 1 "MountingHole" H 3750 2955 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm" H 3650 3000 50 0001 C CNN
F 3 "~" H 3650 3000 50 0001 C CNN
1 3650 3000
1 0 0 -1
$EndComp
$Comp
L Mechanical:MountingHole H4
U 1 1 61171EB4
P 4400 3000
F 0 "H4" H 4500 3046 50 0000 L CNN
F 1 "MountingHole" H 4500 2955 50 0000 L CNN
F 2 "MountingHole:MountingHole_3.5mm" H 4400 3000 50 0001 C CNN
F 3 "~" H 4400 3000 50 0001 C CNN
1 4400 3000
1 0 0 -1
$EndComp
$EndSCHEMATC

Wyświetl plik

@ -1,791 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 5 6
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
Text Notes 6300 1100 0 71 ~ 14
LCD
$Comp
L Device:Buzzer BZ?
U 1 1 61299327
P 2500 2350
AR Path="/5CF2BB44/61299327" Ref="BZ?" Part="1"
AR Path="/612742A4/61299327" Ref="BZ1" Part="1"
F 0 "BZ1" H 2652 2379 50 0000 L CNN
F 1 "Buzzer" H 2652 2288 50 0000 L CNN
F 2 "IonizationChamber:LPT1440S-HS-05-4.0-12-R" V 2475 2450 50 0001 C CNN
F 3 "~" V 2475 2450 50 0001 C CNN
1 2500 2350
1 0 0 -1
$EndComp
$Comp
L power:+5V #PWR?
U 1 1 61299333
P 2200 2000
AR Path="/5CF2BB44/61299333" Ref="#PWR?" Part="1"
AR Path="/612742A4/61299333" Ref="#PWR0104" Part="1"
F 0 "#PWR0104" H 2200 1850 50 0001 C CNN
F 1 "+5V" H 2215 2173 50 0000 C CNN
F 2 "" H 2200 2000 50 0001 C CNN
F 3 "" H 2200 2000 50 0001 C CNN
1 2200 2000
1 0 0 -1
$EndComp
$Comp
L Device:Q_NPN_BEC Q?
U 1 1 61299339
P 2100 3050
AR Path="/5CF2BB44/61299339" Ref="Q?" Part="1"
AR Path="/612742A4/61299339" Ref="Q5" Part="1"
F 0 "Q5" H 2291 3096 50 0000 L CNN
F 1 "Q_NPN_BEC" H 2291 3005 50 0000 L CNN
F 2 "Package_TO_SOT_SMD:SOT-23" H 2300 3150 50 0001 C CNN
F 3 "~" H 2100 3050 50 0001 C CNN
1 2100 3050
1 0 0 -1
$EndComp
$Comp
L Device:L L?
U 1 1 6129933F
P 2100 2350
AR Path="/5CF2BB44/6129933F" Ref="L?" Part="1"
AR Path="/612742A4/6129933F" Ref="L1" Part="1"
F 0 "L1" H 2056 2396 50 0000 R CNN
F 1 "L" H 2056 2305 50 0000 R CNN
F 2 "Inductor_THT:L_Axial_L5.3mm_D2.2mm_P2.54mm_Vertical_Vishay_IM-1" H 2100 2350 50 0001 C CNN
F 3 "~" H 2100 2350 50 0001 C CNN
1 2100 2350
-1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 61299345
P 2200 3300
AR Path="/5CF2BB44/61299345" Ref="#PWR?" Part="1"
AR Path="/612742A4/61299345" Ref="#PWR0105" Part="1"
F 0 "#PWR0105" H 2200 3050 50 0001 C CNN
F 1 "GND" H 2205 3127 50 0000 C CNN
F 2 "" H 2200 3300 50 0001 C CNN
F 3 "" H 2200 3300 50 0001 C CNN
1 2200 3300
1 0 0 -1
$EndComp
$Comp
L Device:R R?
U 1 1 6129934B
P 1700 3050
AR Path="/5CF2BB44/6129934B" Ref="R?" Part="1"
AR Path="/612742A4/6129934B" Ref="R18" Part="1"
F 0 "R18" V 1815 3050 50 0000 C CNN
F 1 "1k" V 1906 3050 50 0000 C CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 1630 3050 50 0001 C CNN
F 3 "~" H 1700 3050 50 0001 C CNN
F 4 "C17513" V 1700 3050 50 0001 C CNN "LCSC"
1 1700 3050
0 1 1 0
$EndComp
Wire Wire Line
2400 2250 2350 2250
Wire Wire Line
2350 2250 2350 2150
Wire Wire Line
2350 2150 2200 2150
Wire Wire Line
2100 2150 2100 2200
Wire Wire Line
2100 2500 2100 2550
Wire Wire Line
2100 2550 2200 2550
Wire Wire Line
2350 2550 2350 2450
Wire Wire Line
2350 2450 2400 2450
Wire Wire Line
2200 2850 2200 2550
Connection ~ 2200 2550
Wire Wire Line
2200 2550 2350 2550
Wire Wire Line
2200 2000 2200 2050
Connection ~ 2200 2150
Wire Wire Line
2200 2150 2100 2150
Wire Wire Line
2200 3300 2200 3250
Wire Wire Line
1900 3050 1850 3050
$Comp
L Device:R_PHOTO R23
U 1 1 6129BD65
P 3400 6400
F 0 "R23" H 3470 6446 50 0000 L CNN
F 1 "R_PHOTO" H 3470 6355 50 0000 L CNN
F 2 "OptoDevice:R_LDR_7x6mm_P5.1mm_Vertical" V 3450 6150 50 0001 L CNN
F 3 "~" H 3400 6350 50 0001 C CNN
1 3400 6400
1 0 0 -1
$EndComp
$Comp
L Device:R R?
U 1 1 612A2511
P 3000 5350
AR Path="/5CF2BB44/612A2511" Ref="R?" Part="1"
AR Path="/60EF78C6/612A2511" Ref="R?" Part="1"
AR Path="/612742A4/612A2511" Ref="R21" Part="1"
F 0 "R21" H 3069 5304 50 0000 L CNN
F 1 "TBD" H 3069 5395 50 0000 L CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 2930 5350 50 0001 C CNN
F 3 "~" H 3000 5350 50 0001 C CNN
1 3000 5350
-1 0 0 1
$EndComp
$Comp
L Device:Q_NMOS_GDS Q?
U 1 1 612A2517
P 2900 6300
AR Path="/5CF2BB44/612A2517" Ref="Q?" Part="1"
AR Path="/60EF78C6/612A2517" Ref="Q?" Part="1"
AR Path="/612742A4/612A2517" Ref="Q9" Part="1"
F 0 "Q9" H 2600 6150 50 0000 L CNN
F 1 "Q_NMOS_GDS" H 2400 6050 50 0000 L CNN
F 2 "Package_TO_SOT_SMD:SOT-23" H 3100 6400 50 0001 C CNN
F 3 "~" H 2900 6300 50 0001 C CNN
1 2900 6300
1 0 0 -1
$EndComp
$Comp
L Device:Q_PMOS_GDS Q?
U 1 1 612A251D
P 3300 5550
AR Path="/5CF2BB44/612A251D" Ref="Q?" Part="1"
AR Path="/60EF78C6/612A251D" Ref="Q?" Part="1"
AR Path="/612742A4/612A251D" Ref="Q8" Part="1"
F 0 "Q8" H 3505 5596 50 0000 L CNN
F 1 "Q_PMOS_GDS" H 3505 5505 50 0000 L CNN
F 2 "Package_TO_SOT_SMD:SOT-23" H 3500 5650 50 0001 C CNN
F 3 "~" H 3300 5550 50 0001 C CNN
1 3300 5550
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 612A2523
P 3000 6600
AR Path="/5CF2BB44/612A2523" Ref="#PWR?" Part="1"
AR Path="/60EF78C6/612A2523" Ref="#PWR?" Part="1"
AR Path="/612742A4/612A2523" Ref="#PWR0106" Part="1"
F 0 "#PWR0106" H 3000 6350 50 0001 C CNN
F 1 "GND" H 3005 6427 50 0000 C CNN
F 2 "" H 3000 6600 50 0001 C CNN
F 3 "" H 3000 6600 50 0001 C CNN
1 3000 6600
1 0 0 -1
$EndComp
$Comp
L Device:R R?
U 1 1 612A2529
P 3400 5950
AR Path="/5CF2BB44/612A2529" Ref="R?" Part="1"
AR Path="/60EF78C6/612A2529" Ref="R?" Part="1"
AR Path="/612742A4/612A2529" Ref="R22" Part="1"
F 0 "R22" H 3330 5904 50 0000 R CNN
F 1 "TBD" H 3330 5995 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 3330 5950 50 0001 C CNN
F 3 "~" H 3400 5950 50 0001 C CNN
1 3400 5950
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 612A252F
P 3400 6600
AR Path="/5CF2BB44/612A252F" Ref="#PWR?" Part="1"
AR Path="/60EF78C6/612A252F" Ref="#PWR?" Part="1"
AR Path="/612742A4/612A252F" Ref="#PWR0107" Part="1"
F 0 "#PWR0107" H 3400 6350 50 0001 C CNN
F 1 "GND" H 3405 6427 50 0000 C CNN
F 2 "" H 3400 6600 50 0001 C CNN
F 3 "" H 3400 6600 50 0001 C CNN
1 3400 6600
1 0 0 -1
$EndComp
Wire Wire Line
3000 6100 3000 5550
Wire Wire Line
3100 5550 3000 5550
Connection ~ 3000 5550
Wire Wire Line
3000 5550 3000 5500
Wire Wire Line
3000 5200 3000 5150
Wire Wire Line
3000 5150 3400 5150
Wire Wire Line
3400 5150 3400 5350
Wire Wire Line
3400 5750 3400 5800
Wire Wire Line
3400 6100 3400 6200
Connection ~ 3400 6200
Wire Wire Line
3400 6200 3400 6250
Wire Wire Line
3400 6550 3400 6600
Wire Wire Line
3000 6500 3000 6600
Wire Wire Line
2700 6300 2550 6300
Text GLabel 2500 6300 0 50 Input ~ 0
R_PHOTO_VOLTAGE_MEASSURE_ENA
Wire Wire Line
3400 5150 3400 5050
Connection ~ 3400 5150
Text GLabel 3950 6200 2 50 Output ~ 0
R_PHOTO_VOLTAGE_MEASSURE_VALUE
Text Notes 1150 7050 0 71 ~ 14
Signal from photoresistor will be used to automatically turn of LCD at night.
$Comp
L power:+5V #PWR?
U 1 1 612CC833
P 3400 5050
AR Path="/5CF2BB44/612CC833" Ref="#PWR?" Part="1"
AR Path="/612742A4/612CC833" Ref="#PWR08" Part="1"
F 0 "#PWR08" H 3400 4900 50 0001 C CNN
F 1 "+5V" H 3342 5087 50 0000 R CNN
F 2 "" H 3400 5050 50 0001 C CNN
F 3 "" H 3400 5050 50 0001 C CNN
1 3400 5050
1 0 0 -1
$EndComp
Text GLabel 1250 3050 0 50 Input ~ 0
BUZZER
Wire Wire Line
1250 3050 1400 3050
$Comp
L Connector:TestPoint TP?
U 1 1 6132A0C3
P 1400 2950
AR Path="/5CF2BB44/6132A0C3" Ref="TP?" Part="1"
AR Path="/612742A4/6132A0C3" Ref="TP8" Part="1"
F 0 "TP8" H 1458 3068 50 0000 L CNN
F 1 "TestPoint" H 1458 2977 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D2.0mm" H 1600 2950 50 0001 C CNN
F 3 "~" H 1600 2950 50 0001 C CNN
1 1400 2950
1 0 0 -1
$EndComp
Wire Wire Line
1400 2950 1400 3050
Connection ~ 1400 3050
Wire Wire Line
1400 3050 1550 3050
$Comp
L Connector:TestPoint TP?
U 1 1 6132E49B
P 2550 6150
AR Path="/5CF2BB44/6132E49B" Ref="TP?" Part="1"
AR Path="/612742A4/6132E49B" Ref="TP10" Part="1"
F 0 "TP10" H 2608 6268 50 0000 L CNN
F 1 "TestPoint" H 2608 6177 50 0000 L CNN
F 2 "TestPoint:TestPoint_Pad_D2.0mm" H 2750 6150 50 0001 C CNN
F 3 "~" H 2750 6150 50 0001 C CNN
1 2550 6150
1 0 0 -1
$EndComp
Wire Wire Line
2550 6150 2550 6300
Connection ~ 2550 6300
Wire Wire Line
2550 6300 2500 6300
$Comp
L IonizationChamber:SSD1306 U1
U 1 1 6138C65D
P 6200 1300
F 0 "U1" H 6828 1151 50 0000 L CNN
F 1 "SSD1306" H 6828 1060 50 0000 L CNN
F 2 "IonizationChamber:SSD1306" H 6200 1450 50 0001 C CNN
F 3 "" H 6200 1450 50 0001 C CNN
1 6200 1300
1 0 0 -1
$EndComp
Text GLabel 5800 1550 0 50 Input ~ 0
SCL
Text GLabel 5800 1650 0 50 Input ~ 0
SDA
Wire Wire Line
6100 1550 5800 1550
Wire Wire Line
6100 1650 5800 1650
$Comp
L power:+5V #PWR?
U 1 1 61390DA5
P 4500 1100
AR Path="/5CF2BB44/61390DA5" Ref="#PWR?" Part="1"
AR Path="/612742A4/61390DA5" Ref="#PWR0108" Part="1"
F 0 "#PWR0108" H 4500 950 50 0001 C CNN
F 1 "+5V" H 4588 1137 50 0000 L CNN
F 2 "" H 4500 1100 50 0001 C CNN
F 3 "" H 4500 1100 50 0001 C CNN
1 4500 1100
1 0 0 -1
$EndComp
$Comp
L Device:C C?
U 1 1 613933ED
P 1800 2350
AR Path="/5CF2BB44/613933ED" Ref="C?" Part="1"
AR Path="/612742A4/613933ED" Ref="C18" Part="1"
F 0 "C18" H 1685 2396 50 0000 R CNN
F 1 "100n" H 1685 2305 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 1838 2200 50 0001 C CNN
F 3 "~" H 1800 2350 50 0001 C CNN
F 4 "C282732" H 1800 2350 50 0001 C CNN "LCSC"
1 1800 2350
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 613966C6
P 1800 2550
AR Path="/5CF2BB44/613966C6" Ref="#PWR?" Part="1"
AR Path="/612742A4/613966C6" Ref="#PWR0109" Part="1"
F 0 "#PWR0109" H 1800 2300 50 0001 C CNN
F 1 "GND" H 1805 2377 50 0000 C CNN
F 2 "" H 1800 2550 50 0001 C CNN
F 3 "" H 1800 2550 50 0001 C CNN
1 1800 2550
1 0 0 -1
$EndComp
Wire Wire Line
2200 2050 1800 2050
Wire Wire Line
1800 2050 1800 2200
Connection ~ 2200 2050
Wire Wire Line
2200 2050 2200 2150
Wire Wire Line
1800 2500 1800 2550
$Comp
L Jumper:SolderJumper_3_Open JP1
U 1 1 614028A6
P 4500 1350
F 0 "JP1" H 4450 1550 50 0000 L CNN
F 1 "SolderJumper_3_Open" H 4150 1450 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm_NumberLabels" H 4500 1350 50 0001 C CNN
F 3 "~" H 4500 1350 50 0001 C CNN
1 4500 1350
0 -1 -1 0
$EndComp
Wire Wire Line
4500 1100 4500 1150
$Comp
L power:GND #PWR?
U 1 1 6140DC53
P 4500 1600
AR Path="/5CF2BB44/6140DC53" Ref="#PWR?" Part="1"
AR Path="/612742A4/6140DC53" Ref="#PWR0110" Part="1"
F 0 "#PWR0110" H 4500 1350 50 0001 C CNN
F 1 "GND" H 4505 1427 50 0000 C CNN
F 2 "" H 4500 1600 50 0001 C CNN
F 3 "" H 4500 1600 50 0001 C CNN
1 4500 1600
1 0 0 -1
$EndComp
Wire Wire Line
4500 1550 4500 1600
$Comp
L power:+5V #PWR?
U 1 1 61412120
P 5100 1100
AR Path="/5CF2BB44/61412120" Ref="#PWR?" Part="1"
AR Path="/612742A4/61412120" Ref="#PWR0111" Part="1"
F 0 "#PWR0111" H 5100 950 50 0001 C CNN
F 1 "+5V" H 5188 1137 50 0000 L CNN
F 2 "" H 5100 1100 50 0001 C CNN
F 3 "" H 5100 1100 50 0001 C CNN
1 5100 1100
1 0 0 -1
$EndComp
$Comp
L Jumper:SolderJumper_3_Open JP2
U 1 1 61412126
P 5100 1350
F 0 "JP2" H 5050 1550 50 0000 L CNN
F 1 "SolderJumper_3_Open" H 4750 1450 50 0000 L CNN
F 2 "Jumper:SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm_NumberLabels" H 5100 1350 50 0001 C CNN
F 3 "~" H 5100 1350 50 0001 C CNN
1 5100 1350
0 -1 -1 0
$EndComp
Wire Wire Line
5100 1100 5100 1150
$Comp
L power:GND #PWR?
U 1 1 6141212D
P 5100 1600
AR Path="/5CF2BB44/6141212D" Ref="#PWR?" Part="1"
AR Path="/612742A4/6141212D" Ref="#PWR0112" Part="1"
F 0 "#PWR0112" H 5100 1350 50 0001 C CNN
F 1 "GND" H 5105 1427 50 0000 C CNN
F 2 "" H 5100 1600 50 0001 C CNN
F 3 "" H 5100 1600 50 0001 C CNN
1 5100 1600
1 0 0 -1
$EndComp
Wire Wire Line
5100 1550 5100 1600
Wire Wire Line
5450 1450 5450 1850
Wire Wire Line
5450 1850 4800 1850
Wire Wire Line
4800 1850 4800 1350
Wire Wire Line
4800 1350 4650 1350
Wire Wire Line
5450 1450 5600 1450
Wire Wire Line
5250 1350 6050 1350
Text Notes 4350 2300 0 71 ~ 14
It seems that on some variants of the display\nThe Vcc and GND pins are switched each other.\nUse jumpers to select correctly power for your siplay.
Wire Wire Line
3400 6200 3950 6200
$Comp
L Switch:SW_Push SW1
U 1 1 61438169
P 6300 5050
F 0 "SW1" V 6254 5002 50 0000 R CNN
F 1 "UP" V 6345 5002 50 0000 R CNN
F 2 "Button_Switch_SMD:SW_SPST_PTS645" H 6300 5250 50 0001 C CNN
F 3 "~" H 6300 5250 50 0001 C CNN
1 6300 5050
0 -1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 6143CB4E
P 6300 4550
AR Path="/5CF2BB44/6143CB4E" Ref="R?" Part="1"
AR Path="/60EF78C6/6143CB4E" Ref="R?" Part="1"
AR Path="/612742A4/6143CB4E" Ref="R24" Part="1"
F 0 "R24" H 6230 4504 50 0000 R CNN
F 1 "1k" H 6230 4595 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 6230 4550 50 0001 C CNN
F 3 "~" H 6300 4550 50 0001 C CNN
F 4 "C17513" H 6300 4550 50 0001 C CNN "LCSC"
1 6300 4550
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 61440C6E
P 6300 5300
AR Path="/5CF2BB44/61440C6E" Ref="#PWR?" Part="1"
AR Path="/612742A4/61440C6E" Ref="#PWR037" Part="1"
F 0 "#PWR037" H 6300 5050 50 0001 C CNN
F 1 "GND" H 6305 5127 50 0000 C CNN
F 2 "" H 6300 5300 50 0001 C CNN
F 3 "" H 6300 5300 50 0001 C CNN
1 6300 5300
1 0 0 -1
$EndComp
Wire Wire Line
6300 4400 6300 4350
$Comp
L power:+5V #PWR?
U 1 1 614420FC
P 6300 4350
AR Path="/5CF2BB44/614420FC" Ref="#PWR?" Part="1"
AR Path="/612742A4/614420FC" Ref="#PWR012" Part="1"
F 0 "#PWR012" H 6300 4200 50 0001 C CNN
F 1 "+5V" H 6242 4387 50 0000 R CNN
F 2 "" H 6300 4350 50 0001 C CNN
F 3 "" H 6300 4350 50 0001 C CNN
1 6300 4350
1 0 0 -1
$EndComp
Wire Wire Line
6300 4700 6300 4800
Wire Wire Line
6300 5250 6300 5300
Wire Wire Line
6300 4800 6450 4800
Connection ~ 6300 4800
Wire Wire Line
6300 4800 6300 4850
Text Notes 6700 5750 0 71 ~ 14
Key debounding will be done in software.
Text GLabel 6450 4800 2 50 Output ~ 0
KEY_UP
$Comp
L Switch:SW_Push SW2
U 1 1 61451B74
P 7200 5050
F 0 "SW2" V 7154 5002 50 0000 R CNN
F 1 "DOWN" V 7245 5002 50 0000 R CNN
F 2 "Button_Switch_SMD:SW_SPST_PTS645" H 7200 5250 50 0001 C CNN
F 3 "~" H 7200 5250 50 0001 C CNN
1 7200 5050
0 -1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 61451B7A
P 7200 4550
AR Path="/5CF2BB44/61451B7A" Ref="R?" Part="1"
AR Path="/60EF78C6/61451B7A" Ref="R?" Part="1"
AR Path="/612742A4/61451B7A" Ref="R25" Part="1"
F 0 "R25" H 7130 4504 50 0000 R CNN
F 1 "1k" H 7130 4595 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 7130 4550 50 0001 C CNN
F 3 "~" H 7200 4550 50 0001 C CNN
F 4 "C17513" H 7200 4550 50 0001 C CNN "LCSC"
1 7200 4550
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 61451B80
P 7200 5300
AR Path="/5CF2BB44/61451B80" Ref="#PWR?" Part="1"
AR Path="/612742A4/61451B80" Ref="#PWR038" Part="1"
F 0 "#PWR038" H 7200 5050 50 0001 C CNN
F 1 "GND" H 7205 5127 50 0000 C CNN
F 2 "" H 7200 5300 50 0001 C CNN
F 3 "" H 7200 5300 50 0001 C CNN
1 7200 5300
1 0 0 -1
$EndComp
Wire Wire Line
7200 4400 7200 4350
$Comp
L power:+5V #PWR?
U 1 1 61451B87
P 7200 4350
AR Path="/5CF2BB44/61451B87" Ref="#PWR?" Part="1"
AR Path="/612742A4/61451B87" Ref="#PWR014" Part="1"
F 0 "#PWR014" H 7200 4200 50 0001 C CNN
F 1 "+5V" H 7142 4387 50 0000 R CNN
F 2 "" H 7200 4350 50 0001 C CNN
F 3 "" H 7200 4350 50 0001 C CNN
1 7200 4350
1 0 0 -1
$EndComp
Wire Wire Line
7200 4700 7200 4800
Wire Wire Line
7200 5250 7200 5300
Wire Wire Line
7200 4800 7350 4800
Connection ~ 7200 4800
Wire Wire Line
7200 4800 7200 4850
Text GLabel 7350 4800 2 50 Output ~ 0
KEY_DOWN
$Comp
L Switch:SW_Push SW3
U 1 1 61453367
P 8200 5050
F 0 "SW3" V 8154 5002 50 0000 R CNN
F 1 "LEFT" V 8245 5002 50 0000 R CNN
F 2 "Button_Switch_SMD:SW_SPST_PTS645" H 8200 5250 50 0001 C CNN
F 3 "~" H 8200 5250 50 0001 C CNN
1 8200 5050
0 -1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 6145336D
P 8200 4550
AR Path="/5CF2BB44/6145336D" Ref="R?" Part="1"
AR Path="/60EF78C6/6145336D" Ref="R?" Part="1"
AR Path="/612742A4/6145336D" Ref="R26" Part="1"
F 0 "R26" H 8130 4504 50 0000 R CNN
F 1 "1k" H 8130 4595 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 8130 4550 50 0001 C CNN
F 3 "~" H 8200 4550 50 0001 C CNN
F 4 "C17513" H 8200 4550 50 0001 C CNN "LCSC"
1 8200 4550
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 61453373
P 8200 5300
AR Path="/5CF2BB44/61453373" Ref="#PWR?" Part="1"
AR Path="/612742A4/61453373" Ref="#PWR039" Part="1"
F 0 "#PWR039" H 8200 5050 50 0001 C CNN
F 1 "GND" H 8205 5127 50 0000 C CNN
F 2 "" H 8200 5300 50 0001 C CNN
F 3 "" H 8200 5300 50 0001 C CNN
1 8200 5300
1 0 0 -1
$EndComp
Wire Wire Line
8200 4400 8200 4350
$Comp
L power:+5V #PWR?
U 1 1 6145337A
P 8200 4350
AR Path="/5CF2BB44/6145337A" Ref="#PWR?" Part="1"
AR Path="/612742A4/6145337A" Ref="#PWR017" Part="1"
F 0 "#PWR017" H 8200 4200 50 0001 C CNN
F 1 "+5V" H 8142 4387 50 0000 R CNN
F 2 "" H 8200 4350 50 0001 C CNN
F 3 "" H 8200 4350 50 0001 C CNN
1 8200 4350
1 0 0 -1
$EndComp
Wire Wire Line
8200 4700 8200 4800
Wire Wire Line
8200 5250 8200 5300
Wire Wire Line
8200 4800 8350 4800
Connection ~ 8200 4800
Wire Wire Line
8200 4800 8200 4850
$Comp
L Switch:SW_Push SW4
U 1 1 61454B9C
P 9150 5050
F 0 "SW4" V 9104 5002 50 0000 R CNN
F 1 "RIGHT" V 9195 5002 50 0000 R CNN
F 2 "Button_Switch_SMD:SW_SPST_PTS645" H 9150 5250 50 0001 C CNN
F 3 "~" H 9150 5250 50 0001 C CNN
1 9150 5050
0 -1 1 0
$EndComp
$Comp
L Device:R R?
U 1 1 61454BA2
P 9150 4550
AR Path="/5CF2BB44/61454BA2" Ref="R?" Part="1"
AR Path="/60EF78C6/61454BA2" Ref="R?" Part="1"
AR Path="/612742A4/61454BA2" Ref="R27" Part="1"
F 0 "R27" H 9080 4504 50 0000 R CNN
F 1 "1k" H 9080 4595 50 0000 R CNN
F 2 "Resistor_SMD:R_0805_2012Metric" V 9080 4550 50 0001 C CNN
F 3 "~" H 9150 4550 50 0001 C CNN
F 4 "C17513" H 9150 4550 50 0001 C CNN "LCSC"
1 9150 4550
-1 0 0 1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 61454BA8
P 9150 5300
AR Path="/5CF2BB44/61454BA8" Ref="#PWR?" Part="1"
AR Path="/612742A4/61454BA8" Ref="#PWR040" Part="1"
F 0 "#PWR040" H 9150 5050 50 0001 C CNN
F 1 "GND" H 9155 5127 50 0000 C CNN
F 2 "" H 9150 5300 50 0001 C CNN
F 3 "" H 9150 5300 50 0001 C CNN
1 9150 5300
1 0 0 -1
$EndComp
Wire Wire Line
9150 4400 9150 4350
$Comp
L power:+5V #PWR?
U 1 1 61454BAF
P 9150 4350
AR Path="/5CF2BB44/61454BAF" Ref="#PWR?" Part="1"
AR Path="/612742A4/61454BAF" Ref="#PWR034" Part="1"
F 0 "#PWR034" H 9150 4200 50 0001 C CNN
F 1 "+5V" H 9092 4387 50 0000 R CNN
F 2 "" H 9150 4350 50 0001 C CNN
F 3 "" H 9150 4350 50 0001 C CNN
1 9150 4350
1 0 0 -1
$EndComp
Wire Wire Line
9150 4700 9150 4800
Wire Wire Line
9150 5250 9150 5300
Wire Wire Line
9150 4800 9300 4800
Connection ~ 9150 4800
Wire Wire Line
9150 4800 9150 4850
Text GLabel 9300 4800 2 50 Input ~ 0
KEY_RIGHT
Text GLabel 8350 4800 2 50 Output ~ 0
KEY_LEFT
$Comp
L power:PWR_FLAG #FLG01
U 1 1 61570571
P 5600 1200
F 0 "#FLG01" H 5600 1275 50 0001 C CNN
F 1 "PWR_FLAG" H 5600 1373 50 0000 C CNN
F 2 "" H 5600 1200 50 0001 C CNN
F 3 "~" H 5600 1200 50 0001 C CNN
1 5600 1200
1 0 0 -1
$EndComp
$Comp
L power:PWR_FLAG #FLG02
U 1 1 61571089
P 6050 1200
F 0 "#FLG02" H 6050 1275 50 0001 C CNN
F 1 "PWR_FLAG" H 6050 1373 50 0000 C CNN
F 2 "" H 6050 1200 50 0001 C CNN
F 3 "~" H 6050 1200 50 0001 C CNN
1 6050 1200
1 0 0 -1
$EndComp
Wire Wire Line
5600 1200 5600 1450
Connection ~ 5600 1450
Wire Wire Line
5600 1450 6100 1450
Wire Wire Line
6050 1200 6050 1350
Connection ~ 6050 1350
Wire Wire Line
6050 1350 6100 1350
$Comp
L Device:C C?
U 1 1 61A71D71
P 1300 2350
AR Path="/5CF2BB44/61A71D71" Ref="C?" Part="1"
AR Path="/612742A4/61A71D71" Ref="C27" Part="1"
F 0 "C27" H 1185 2396 50 0000 R CNN
F 1 "100n" H 1185 2305 50 0000 R CNN
F 2 "Capacitor_SMD:C_0805_2012Metric" H 1338 2200 50 0001 C CNN
F 3 "~" H 1300 2350 50 0001 C CNN
F 4 "C282732" H 1300 2350 50 0001 C CNN "LCSC"
1 1300 2350
1 0 0 -1
$EndComp
$Comp
L power:GND #PWR?
U 1 1 61A71D77
P 1300 2550
AR Path="/5CF2BB44/61A71D77" Ref="#PWR?" Part="1"
AR Path="/612742A4/61A71D77" Ref="#PWR058" Part="1"
F 0 "#PWR058" H 1300 2300 50 0001 C CNN
F 1 "GND" H 1305 2377 50 0000 C CNN
F 2 "" H 1300 2550 50 0001 C CNN
F 3 "" H 1300 2550 50 0001 C CNN
1 1300 2550
1 0 0 -1
$EndComp
Wire Wire Line
1300 2050 1300 2200
Wire Wire Line
1300 2500 1300 2550
Wire Wire Line
1300 2050 1800 2050
Connection ~ 1800 2050
$EndSCHEMATC

Wyświetl plik

@ -34,6 +34,7 @@
4,
5,
6,
7,
8,
9,
10,
@ -63,7 +64,7 @@
35,
36
],
"visible_layers": "fffffff_ffffffff",
"visible_layers": "00031b0_80000001",
"zone_display_mode": 0
},
"meta": {

Wyświetl plik

@ -3,66 +3,132 @@
"design_settings": {
"defaults": {
"board_outline_line_width": 0.15,
"copper_line_width": 0.2,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": true,
"courtyard_line_width": 0.05,
"other_line_width": 0.15,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": true,
"other_text_upright": false,
"pads": {
"drill": 1.0,
"height": 1.7,
"width": 1.7
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": true
},
"diff_pair_dimensions": [
{
"gap": 0.25,
"via_gap": 0.25,
"width": 0.2
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.508
}
],
},
"diff_pair_dimensions": [],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "error",
"silk_overlap": "error",
"skew_out_of_range": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
"rule_severitieslegacy_no_courtyard_defined": false,
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.075,
"min_hole_clearance": 0.0,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.2,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.2,
"min_via_diameter": 0.4,
"solder_mask_clearance": 0.2,
"solder_mask_min_width": 0.0,
"solder_paste_clearance": 0.0,
"solder_paste_margin_ratio": -0.0
"min_track_width": 0.19999999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"use_height_for_length_calcs": true
},
"track_widths": [
0.3048,
0.0,
0.254,
0.4064,
0.508
],
"via_dimensions": [
{
"diameter": 1.3,
"drill": 0.6
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 1.0,
"drill": 0.5
}
]
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
@ -287,7 +353,7 @@
"classes": [
{
"bus_width": 12.0,
"clearance": 0.2,
"clearance": 0.254,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
@ -297,9 +363,27 @@
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"track_width": 0.3048,
"via_diameter": 1.3,
"via_drill": 0.6,
"wire_width": 6.0
},
{
"bus_width": 12.0,
"clearance": 2.0,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "high voltage",
"nets": [],
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.3048,
"via_diameter": 1.3,
"via_drill": 0.6,
"wire_width": 6.0
}
],
@ -359,6 +443,31 @@
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [],
"sheets": [
[
"439bd53b-3ca6-40a8-96c2-7cc19a6de553",
""
],
[
"00000000-0000-0000-0000-0000616ff04d",
"ADC"
],
[
"00000000-0000-0000-0000-000060ef78c6",
"AnalogFrontend"
],
[
"00000000-0000-0000-0000-00005cf2bb44",
"DataAcquisition"
],
[
"00000000-0000-0000-0000-000060f42705",
"Mechanic"
],
[
"00000000-0000-0000-0000-0000612742a4",
"UserInterface"
]
],
"text_variables": {}
}

Wyświetl plik

@ -0,0 +1,645 @@
(kicad_sch (version 20210621) (generator eeschema)
(uuid 439bd53b-3ca6-40a8-96c2-7cc19a6de553)
(paper "A4")
(lib_symbols
)
(polyline (pts (xy 219.71 162.56) (xy 181.61 162.56))
(stroke (width 0) (type dash) (color 0 0 0 0))
(uuid b6507a9b-27af-4ec0-8a4e-975e52402725)
)
(text "Power supply:\nanalog frontend = +2*3V6, -2*3V6\ndata acquisition = 5V\npolarization of chamber = 6*12V"
(at 58.42 97.79 0)
(effects (font (size 2.0066 2.0066) (thickness 0.4013) bold) (justify left bottom))
(uuid f9d72c05-50e6-481a-be9a-7a22466d3bb6)
)
(sheet (at 63.5 39.37) (size 12.7 3.81) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-0000616ff04d)
(property "Sheet name" "ADC" (id 0) (at 63.5 38.7345 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "ADC.kicad_sch" (id 1) (at 63.5 43.6885 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
(sheet (at 34.29 39.37) (size 12.7 3.81) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-000060ef78c6)
(property "Sheet name" "AnalogFrontend" (id 0) (at 34.29 38.7345 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "AnalogFrontend.kicad_sch" (id 1) (at 34.29 43.6885 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
(sheet (at 87.63 39.37) (size 12.7 3.81) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-00005cf2bb44)
(property "Sheet name" "DataAcquisition" (id 0) (at 87.63 38.7345 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "DataAcquisition.kicad_sch" (id 1) (at 87.63 43.6885 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
(sheet (at 74.93 62.23) (size 12.7 3.81) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-000060f42705)
(property "Sheet name" "Mechanic" (id 0) (at 74.93 61.5945 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "Mechanic.kicad_sch" (id 1) (at 74.93 66.5485 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
(sheet (at 119.38 39.37) (size 12.7 3.81) (fields_autoplaced)
(stroke (width 0) (type solid) (color 0 0 0 0))
(fill (color 0 0 0 0.0000))
(uuid 00000000-0000-0000-0000-0000612742a4)
(property "Sheet name" "UserInterface" (id 0) (at 119.38 38.7345 0)
(effects (font (size 1.27 1.27)) (justify left bottom))
)
(property "Sheet file" "UserInterface.kicad_sch" (id 1) (at 119.38 43.6885 0)
(effects (font (size 1.27 1.27)) (justify left top))
)
)
(sheet_instances
(path "/" (page "1"))
(path "/00000000-0000-0000-0000-0000616ff04d" (page "2"))
(path "/00000000-0000-0000-0000-000060ef78c6" (page "3"))
(path "/00000000-0000-0000-0000-00005cf2bb44" (page "4"))
(path "/00000000-0000-0000-0000-000060f42705" (page "5"))
(path "/00000000-0000-0000-0000-0000612742a4" (page "6"))
)
(symbol_instances
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061570571"
(reference "#FLG01") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061571089"
(reference "#FLG02") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-00006157b5fb"
(reference "#FLG03") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-00006157bd46"
(reference "#FLG04") (unit 1) (value "PWR_FLAG") (footprint "")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-00006171621e"
(reference "#PWR01") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716252"
(reference "#PWR02") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716218"
(reference "#PWR03") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716263"
(reference "#PWR04") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716229"
(reference "#PWR05") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061115d60"
(reference "#PWR06") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000612cf68e"
(reference "#PWR07") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000612cc833"
(reference "#PWR08") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00005d110867"
(reference "#PWR09") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00005d11035b"
(reference "#PWR010") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f3de7f"
(reference "#PWR011") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000614420fc"
(reference "#PWR012") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006147be48"
(reference "#PWR013") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061451b87"
(reference "#PWR014") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061487a8b"
(reference "#PWR015") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000614937cd"
(reference "#PWR016") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006145337a"
(reference "#PWR017") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060fdb61a"
(reference "#PWR018") (unit 1) (value "+BATT") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0519d"
(reference "#PWR019") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0517f"
(reference "#PWR020") (unit 1) (value "+BATT") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05185"
(reference "#PWR021") (unit 1) (value "+BATT") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0516f"
(reference "#PWR022") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05140"
(reference "#PWR023") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f051cb"
(reference "#PWR024") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f051b6"
(reference "#PWR025") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0518b"
(reference "#PWR026") (unit 1) (value "-BATT") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05191"
(reference "#PWR027") (unit 1) (value "-BATT") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050f4"
(reference "#PWR028") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f70f22"
(reference "#PWR029") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f70f2e"
(reference "#PWR030") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060ef1ea3"
(reference "#PWR031") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060ef56eb"
(reference "#PWR032") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611119b7"
(reference "#PWR033") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061454baf"
(reference "#PWR034") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611d6eac"
(reference "#PWR035") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611d6eb8"
(reference "#PWR036") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061440c6e"
(reference "#PWR037") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061451b80"
(reference "#PWR038") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061453373"
(reference "#PWR039") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061454ba8"
(reference "#PWR040") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000613a05a6"
(reference "#PWR041") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061396b9d"
(reference "#PWR042") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061347539"
(reference "#PWR043") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006120d95e"
(reference "#PWR044") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611487c6"
(reference "#PWR045") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006126d1ce"
(reference "#PWR046") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060f8e3bf"
(reference "#PWR047") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060f97593"
(reference "#PWR048") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060ffd6fb"
(reference "#PWR049") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000612b8f9d"
(reference "#PWR050") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006168668d"
(reference "#PWR051") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000616448c3"
(reference "#PWR052") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000615d1b03"
(reference "#PWR053") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000616a1650"
(reference "#PWR054") (unit 1) (value "GNDPWR") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000615de7ef"
(reference "#PWR055") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006187d5fd"
(reference "#PWR056") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061872295"
(reference "#PWR057") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061a71d77"
(reference "#PWR058") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-0000610471fd"
(reference "#PWR0101") (unit 1) (value "+BATT") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000061051d82"
(reference "#PWR0102") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-00006104c660"
(reference "#PWR0103") (unit 1) (value "-BATT") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061299333"
(reference "#PWR0104") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061299345"
(reference "#PWR0105") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000612a2523"
(reference "#PWR0106") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000612a252f"
(reference "#PWR0107") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061390da5"
(reference "#PWR0108") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000613966c6"
(reference "#PWR0109") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006140dc53"
(reference "#PWR0110") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061412120"
(reference "#PWR0111") (unit 1) (value "+5V") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006141212d"
(reference "#PWR0112") (unit 1) (value "GND") (footprint "")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061299327"
(reference "BZ1") (unit 1) (value "Buzzer") (footprint "IonizationChamber:LPT1440S-HS-05-4.0-12-R")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716230"
(reference "C1") (unit 1) (value "10u") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716212"
(reference "C2") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000061074785"
(reference "C3") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000061057058"
(reference "C4") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-00006118941b"
(reference "C5") (unit 1) (value "CP") (footprint "Capacitor_SMD:CP_Elec_6.3x5.8")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0515b"
(reference "C6") (unit 1) (value "0R resistor") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05117"
(reference "C7") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0511d"
(reference "C8") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f051e8"
(reference "C9") (unit 1) (value "10n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-00006118a879"
(reference "C10") (unit 1) (value "CP") (footprint "Capacitor_SMD:CP_Elec_6.3x5.8")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f051e2"
(reference "C11") (unit 1) (value "10n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f051b0"
(reference "C12") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f051c5"
(reference "C13") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050ee"
(reference "C14") (unit 1) (value "0R resistor") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000613a05a0"
(reference "C15") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000610f5d58"
(reference "C16") (unit 1) (value "22p") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000610fb1a7"
(reference "C17") (unit 1) (value "22p") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000613933ed"
(reference "C18") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061396b97"
(reference "C19") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061347533"
(reference "C20") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006112c8f0"
(reference "C21") (unit 1) (value "TBD") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060f834ce"
(reference "C22") (unit 1) (value "470n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060f9758d"
(reference "C23") (unit 1) (value "470n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060ffd6f5"
(reference "C24") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000616362ae"
(reference "C25") (unit 1) (value "4u7") (footprint "Capacitor_Tantalum_SMD:CP_EIA-3528-21_Kemet-B")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000615d1afd"
(reference "C26") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061a71d71"
(reference "C27") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060f42705/00000000-0000-0000-0000-00006117057a"
(reference "H1") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.5mm")
)
(path "/00000000-0000-0000-0000-000060f42705/00000000-0000-0000-0000-00006117199e"
(reference "H2") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.5mm")
)
(path "/00000000-0000-0000-0000-000060f42705/00000000-0000-0000-0000-000061171bae"
(reference "H3") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.5mm")
)
(path "/00000000-0000-0000-0000-000060f42705/00000000-0000-0000-0000-000061171eb4"
(reference "H4") (unit 1) (value "MountingHole") (footprint "MountingHole:MountingHole_3.5mm")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061011172"
(reference "J1") (unit 1) (value "Conn_01x16_Female") (footprint "Connector_PinSocket_2.54mm:PinSocket_1x16_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060fcc735"
(reference "J2") (unit 1) (value "Conn_01x16_Female") (footprint "Connector_PinSocket_2.54mm:PinSocket_1x16_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f3bfed"
(reference "J3") (unit 1) (value "RF_Shield_One_Piece") (footprint "IonizationChamber:RFShield")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05146"
(reference "J4") (unit 1) (value "Conn_Coaxial") (footprint "Connector_Coaxial:SMA_Molex_73251-2200_Horizontal")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f51c72"
(reference "J5") (unit 1) (value "Conn_01x03_Male") (footprint "Connector_PinSocket_2.54mm:PinSocket_1x03_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f56c32"
(reference "J6") (unit 1) (value "Conn_01x03_Male") (footprint "Connector_PinSocket_2.54mm:PinSocket_1x03_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006146757c"
(reference "J7") (unit 1) (value "UART") (footprint "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Horizontal")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006159169a"
(reference "J8") (unit 1) (value "USB_B_Micro") (footprint "Connector_USB:USB_Micro-B_Molex-105017-0001")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000618953d2"
(reference "J9") (unit 1) (value "Conn_01x04") (footprint "Connector_PinSocket_2.54mm:PinSocket_1x04_P2.54mm_Vertical")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000614028a6"
(reference "JP1") (unit 1) (value "SolderJumper_3_Open") (footprint "Jumper:SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm_NumberLabels")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061412126"
(reference "JP2") (unit 1) (value "SolderJumper_3_Open") (footprint "Jumper:SolderJumper-3_P1.3mm_Open_Pad1.0x1.5mm_NumberLabels")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611bedca"
(reference "JP3") (unit 1) (value "Jumper_2_Open") (footprint "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006188a9b6"
(reference "JP4") (unit 1) (value "Jumper_2_Open") (footprint "Jumper:SolderJumper-2_P1.3mm_Open_Pad1.0x1.5mm")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006129933f"
(reference "L1") (unit 1) (value "L") (footprint "Inductor_THT:L_Axial_L5.3mm_D2.2mm_P2.54mm_Vertical_Vishay_IM-1")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f70f1c"
(reference "Q1") (unit 1) (value "Q_PMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060ef1e9d"
(reference "Q2") (unit 1) (value "Q_PMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f70f16"
(reference "Q3") (unit 1) (value "Q_NMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060ef1e97"
(reference "Q4") (unit 1) (value "Q_NMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061299339"
(reference "Q5") (unit 1) (value "Q_NPN_BEC") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611d6ea6"
(reference "Q6") (unit 1) (value "Q_PMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611d6ea0"
(reference "Q7") (unit 1) (value "Q_NMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000612a251d"
(reference "Q8") (unit 1) (value "Q_PMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000612a2517"
(reference "Q9") (unit 1) (value "Q_NMOS_GDS") (footprint "Package_TO_SOT_SMD:SOT-23")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716248"
(reference "R1") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716236"
(reference "R2") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-00006171623c"
(reference "R3") (unit 1) (value "0R") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-000061716242"
(reference "R4") (unit 1) (value "27k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f70f10"
(reference "R5") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060ef1e91"
(reference "R6") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00005d11026f"
(reference "R7") (unit 1) (value "4k7") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00005d10fb73"
(reference "R8") (unit 1) (value "4k7") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f70f28"
(reference "R9") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0514f"
(reference "R10") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050d4"
(reference "R11") (unit 1) (value "2*50G") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05155"
(reference "R12") (unit 1) (value "120k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050e8"
(reference "R13") (unit 1) (value "120k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050e2"
(reference "R14") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060ef1ea9"
(reference "R15") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f70f0a"
(reference "R16") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060ef1e8b"
(reference "R17") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006129934b"
(reference "R18") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611d6e9a"
(reference "R19") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611d6eb2"
(reference "R20") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000612a2511"
(reference "R21") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-0000612a2529"
(reference "R22") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006129bd65"
(reference "R23") (unit 1) (value "R_PHOTO") (footprint "OptoDevice:R_LDR_7x6mm_P5.1mm_Vertical")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006143cb4e"
(reference "R24") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061451b7a"
(reference "R25") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006145336d"
(reference "R26") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061454ba2"
(reference "R27") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-00006112499d"
(reference "R28") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060f5caff"
(reference "R29") (unit 1) (value "100R") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060f647ef"
(reference "R30") (unit 1) (value "100R") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061438169"
(reference "SW1") (unit 1) (value "UP") (footprint "Button_Switch_SMD:SW_SPST_PTS645")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061451b74"
(reference "SW2") (unit 1) (value "DOWN") (footprint "Button_Switch_SMD:SW_SPST_PTS645")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061453367"
(reference "SW3") (unit 1) (value "LEFT") (footprint "Button_Switch_SMD:SW_SPST_PTS645")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-000061454b9c"
(reference "SW4") (unit 1) (value "RIGHT") (footprint "Button_Switch_SMD:SW_SPST_PTS645")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060e68270"
(reference "TH1") (unit 1) (value "Thermistor") (footprint "Resistor_SMD:R_0805_2012Metric")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000612ede45"
(reference "TP1") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000061307867"
(reference "TP2") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-0000613425f7"
(reference "TP5") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f051d8"
(reference "TP6") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Loop_D2.60mm_Drill0.9mm_Beaded")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000061337bcd"
(reference "TP7") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006132a0c3"
(reference "TP8") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006132e49b"
(reference "TP10") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611d45bc"
(reference "TP12") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000611dca72"
(reference "TP13") (unit 1) (value "TestPoint") (footprint "TestPoint:TestPoint_Pad_D2.0mm")
)
(path "/00000000-0000-0000-0000-0000612742a4/00000000-0000-0000-0000-00006138c65d"
(reference "U1") (unit 1) (value "SSD1306") (footprint "IonizationChamber:SSD1306")
)
(path "/00000000-0000-0000-0000-0000616ff04d/00000000-0000-0000-0000-00006171620c"
(reference "U2") (unit 1) (value "MCP3425A0T-ECH") (footprint "Package_TO_SOT_SMD:SOT-23-6_Handsoldering")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050c2"
(reference "U3") (unit 1) (value "LMC662") (footprint "Package_DIP:DIP-8_W7.62mm")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050c8"
(reference "U3") (unit 2) (value "LMC662") (footprint "Package_DIP:DIP-8_W7.62mm")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f050ce"
(reference "U3") (unit 3) (value "LMC662") (footprint "Package_DIP:DIP-8_W7.62mm")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05105"
(reference "U4") (unit 1) (value "LM358") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f0510b"
(reference "U4") (unit 2) (value "LM358") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05111"
(reference "U4") (unit 3) (value "LM358") (footprint "Package_SO:SOIC-8_3.9x4.9mm_P1.27mm")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-000060f33676"
(reference "U5") (unit 1) (value "STM8S105K4B6") (footprint "IonizationChamber:DIP-32_400_ELL")
)
(path "/00000000-0000-0000-0000-000060ef78c6/00000000-0000-0000-0000-000060f05176"
(reference "V1") (unit 1) (value "Nuclear-Radiation_Detector") (footprint "IonizationChamber:IonizationChamberSensor")
)
(path "/00000000-0000-0000-0000-00005cf2bb44/00000000-0000-0000-0000-0000610f50e3"
(reference "Y1") (unit 1) (value "Crystal") (footprint "Crystal:Crystal_SMD_5032-2Pin_5.0x3.2mm_HandSoldering")
)
)
)

Wyświetl plik

@ -1,264 +0,0 @@
update=18/07/2021 12:59:54
version=1
last_client=kicad
[general]
version=1
RootSch=
BoardNm=
[cvpcb]
version=1
NetIExt=net
[eeschema]
version=1
LibDir=
[eeschema/libraries]
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=
SpiceAjustPassiveValues=0
LabSize=50
ERC_TestSimilarLabels=1
[pcbnew]
version=1
PageLayoutDescrFile=
LastNetListRead=
CopperLayerCount=2
BoardThickness=1.6
AllowMicroVias=0
AllowBlindVias=0
RequireCourtyardDefinitions=0
ProhibitOverlappingCourtyards=1
MinTrackWidth=0.2
MinViaDiameter=0.4
MinViaDrill=0.3
MinMicroViaDiameter=0.2
MinMicroViaDrill=0.09999999999999999
MinHoleToHole=0.25
TrackWidth1=0.3048
TrackWidth2=0.254
TrackWidth3=0.4064
TrackWidth4=0.508
ViaDiameter1=1.3
ViaDrill1=0.6
ViaDiameter2=1
ViaDrill2=0.5
dPairWidth1=0.2
dPairGap1=0.25
dPairViaGap1=0.25
SilkLineWidth=0.15
SilkTextSizeV=1
SilkTextSizeH=1
SilkTextSizeThickness=0.15
SilkTextItalic=0
SilkTextUpright=1
CopperLineWidth=0.2
CopperTextSizeV=1.5
CopperTextSizeH=1.5
CopperTextThickness=0.3
CopperTextItalic=0
CopperTextUpright=1
EdgeCutLineWidth=0.15
CourtyardLineWidth=0.05
OthersLineWidth=0.15
OthersTextSizeV=1
OthersTextSizeH=1
OthersTextSizeThickness=0.15
OthersTextItalic=0
OthersTextUpright=1
SolderMaskClearance=0.2
SolderMaskMinWidth=0
SolderPasteClearance=0
SolderPasteRatio=-0
[pcbnew/Layer.F.Cu]
Name=F.Cu
Type=0
Enabled=1
[pcbnew/Layer.In1.Cu]
Name=In1.Cu
Type=0
Enabled=0
[pcbnew/Layer.In2.Cu]
Name=In2.Cu
Type=0
Enabled=0
[pcbnew/Layer.In3.Cu]
Name=In3.Cu
Type=0
Enabled=0
[pcbnew/Layer.In4.Cu]
Name=In4.Cu
Type=0
Enabled=0
[pcbnew/Layer.In5.Cu]
Name=In5.Cu
Type=0
Enabled=0
[pcbnew/Layer.In6.Cu]
Name=In6.Cu
Type=0
Enabled=0
[pcbnew/Layer.In7.Cu]
Name=In7.Cu
Type=0
Enabled=0
[pcbnew/Layer.In8.Cu]
Name=In8.Cu
Type=0
Enabled=0
[pcbnew/Layer.In9.Cu]
Name=In9.Cu
Type=0
Enabled=0
[pcbnew/Layer.In10.Cu]
Name=In10.Cu
Type=0
Enabled=0
[pcbnew/Layer.In11.Cu]
Name=In11.Cu
Type=0
Enabled=0
[pcbnew/Layer.In12.Cu]
Name=In12.Cu
Type=0
Enabled=0
[pcbnew/Layer.In13.Cu]
Name=In13.Cu
Type=0
Enabled=0
[pcbnew/Layer.In14.Cu]
Name=In14.Cu
Type=0
Enabled=0
[pcbnew/Layer.In15.Cu]
Name=In15.Cu
Type=0
Enabled=0
[pcbnew/Layer.In16.Cu]
Name=In16.Cu
Type=0
Enabled=0
[pcbnew/Layer.In17.Cu]
Name=In17.Cu
Type=0
Enabled=0
[pcbnew/Layer.In18.Cu]
Name=In18.Cu
Type=0
Enabled=0
[pcbnew/Layer.In19.Cu]
Name=In19.Cu
Type=0
Enabled=0
[pcbnew/Layer.In20.Cu]
Name=In20.Cu
Type=0
Enabled=0
[pcbnew/Layer.In21.Cu]
Name=In21.Cu
Type=0
Enabled=0
[pcbnew/Layer.In22.Cu]
Name=In22.Cu
Type=0
Enabled=0
[pcbnew/Layer.In23.Cu]
Name=In23.Cu
Type=0
Enabled=0
[pcbnew/Layer.In24.Cu]
Name=In24.Cu
Type=0
Enabled=0
[pcbnew/Layer.In25.Cu]
Name=In25.Cu
Type=0
Enabled=0
[pcbnew/Layer.In26.Cu]
Name=In26.Cu
Type=0
Enabled=0
[pcbnew/Layer.In27.Cu]
Name=In27.Cu
Type=0
Enabled=0
[pcbnew/Layer.In28.Cu]
Name=In28.Cu
Type=0
Enabled=0
[pcbnew/Layer.In29.Cu]
Name=In29.Cu
Type=0
Enabled=0
[pcbnew/Layer.In30.Cu]
Name=In30.Cu
Type=0
Enabled=0
[pcbnew/Layer.B.Cu]
Name=B.Cu
Type=0
Enabled=1
[pcbnew/Layer.B.Adhes]
Enabled=1
[pcbnew/Layer.F.Adhes]
Enabled=1
[pcbnew/Layer.B.Paste]
Enabled=1
[pcbnew/Layer.F.Paste]
Enabled=1
[pcbnew/Layer.B.SilkS]
Enabled=1
[pcbnew/Layer.F.SilkS]
Enabled=1
[pcbnew/Layer.B.Mask]
Enabled=1
[pcbnew/Layer.F.Mask]
Enabled=1
[pcbnew/Layer.Dwgs.User]
Enabled=1
[pcbnew/Layer.Cmts.User]
Enabled=1
[pcbnew/Layer.Eco1.User]
Enabled=1
[pcbnew/Layer.Eco2.User]
Enabled=1
[pcbnew/Layer.Edge.Cuts]
Enabled=1
[pcbnew/Layer.Margin]
Enabled=1
[pcbnew/Layer.B.CrtYd]
Enabled=1
[pcbnew/Layer.F.CrtYd]
Enabled=1
[pcbnew/Layer.B.Fab]
Enabled=1
[pcbnew/Layer.F.Fab]
Enabled=1
[pcbnew/Layer.Rescue]
Enabled=0
[pcbnew/Netclasses]
[pcbnew/Netclasses/Default]
Name=Default
Clearance=0.254
TrackWidth=0.3048
ViaDiameter=1.3
ViaDrill=0.6
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25
[pcbnew/Netclasses/1]
Name=high voltage
Clearance=2
TrackWidth=0.3048
ViaDiameter=1.3
ViaDrill=0.6
uViaDiameter=0.3
uViaDrill=0.1
dPairWidth=0.2
dPairGap=0.25
dPairViaGap=0.25

Wyświetl plik

@ -1,50 +0,0 @@
EESchema Schematic File Version 4
EELAYER 30 0
EELAYER END
$Descr A4 11693 8268
encoding utf-8
Sheet 1 6
Title ""
Date ""
Rev ""
Comp ""
Comment1 ""
Comment2 ""
Comment3 ""
Comment4 ""
$EndDescr
$Sheet
S 3450 1550 500 150
U 5CF2BB44
F0 "DataAcquisition" 50
F1 "DataAcquisition.sch" 50
$EndSheet
Wire Notes Line
8650 6400 7150 6400
Text Notes 2300 3850 0 79 ~ 16
Power supply:\nanalog frontend = +2*3V6, -2*3V6\ndata acquisition = 5V\npolarization of chamber = 6*12V
$Sheet
S 1350 1550 500 150
U 60EF78C6
F0 "AnalogFrontend" 50
F1 "AnalogFrontend.sch" 50
$EndSheet
$Sheet
S 2950 2450 500 150
U 60F42705
F0 "Mechanic" 50
F1 "Mechanic.sch" 50
$EndSheet
$Sheet
S 4700 1550 500 150
U 612742A4
F0 "UserInterface" 50
F1 "UserInterface.sch" 50
$EndSheet
$Sheet
S 2500 1550 500 150
U 616FF04D
F0 "ADC" 50
F1 "ADC.sch" 50
$EndSheet
$EndSCHEMATC

Wyświetl plik

@ -1,3 +0,0 @@
(fp_lib_table
(lib (name IonizationChamber)(type KiCad)(uri ${KIPRJMOD}/IonizationChamber.pretty)(options "")(descr ""))
)

Wyświetl plik

@ -1,3 +0,0 @@
EESchema-DOCLIB Version 2.0
#
#End Doc Library

Wyświetl plik

@ -1,3 +0,0 @@
(sym_lib_table
(lib (name IonizationChamber)(type Legacy)(uri ${KIPRJMOD}/IonizationChamber.lib)(options "")(descr ""))
)

Wyświetl plik

@ -1,6 +1,5 @@
## Setting up development environment on Linux
1. Install tools via apt-get:
```console
@ -39,7 +38,7 @@ install.packages('Hmisc')
## Collecting measurements
1. **Edit config.py** to select the correct COM port of Ionization Chamber. Note that **useDMM flag should be set to False**, is experimental and was supposed to be used to check the correlation of Ionization Chamber with other factors (measured by DMM with SCPI support), such factors could be e.g. temperature.
1. **Edit config.py** to select the correct COM port of Ionization Chamber.
2. **Run data acquisition script**, it will log Ionization Chamber output on the screen and also it will save it to data.csv for further processing.