ATU-10-10W-QRP-antenna-tuner/Firmware/ATU-10_FW_11/ATU-10.dct

6491 wiersze
67 KiB
Plaintext

____doifc
__ca2a
__ca2aw
__cc2d
__cc2dw
__cs2s
__flash_size
__fzins
__math_tempb
__math_tempbd
_adc_external_ref
_adc_external_vrefh
_adc_external_vrefl
_adc_internal_fvrh1
_adc_internal_fvrh2
_adc_internal_fvrh4
_adc_internal_ref
_adc_internal_vrefh
_adc_internal_vrefl
abden
abden_bit
abdovf
abdovf_bit
acc0
acc0_bit
acc1
acc1_bit
acc10
acc10_bit
acc11
acc11_bit
acc12
acc12_bit
acc13
acc13_bit
acc14
acc14_bit
acc15
acc15_bit
acc2
acc2_bit
acc3
acc3_bit
acc4
acc4_bit
acc5
acc5_bit
acc6
acc6_bit
acc7
acc7_bit
acc8
acc8_bit
acc9
acc9_bit
accm
accm_bit
ackdt1
ackdt1_bit
ackdt2
ackdt2_bit
acken1
acken1_bit
acken2
acken2_bit
ackstat1
ackstat1_bit
ackstat2
ackstat2_bit
adacc
adacc0
adacc0_bit
adacc1
adacc1_bit
adacc10
adacc10_bit
adacc11
adacc11_bit
adacc12
adacc12_bit
adacc13
adacc13_bit
adacc14
adacc14_bit
adacc15
adacc15_bit
adacc2
adacc2_bit
adacc3
adacc3_bit
adacc4
adacc4_bit
adacc5
adacc5_bit
adacc6
adacc6_bit
adacc7
adacc7_bit
adacc8
adacc8_bit
adacc9
adacc9_bit
adacch
adaccl
adaclr
adaclr_bit
adacq
adacq0
adacq0_bit
adacq1
adacq1_bit
adacq2
adacq2_bit
adacq3
adacq3_bit
adacq4
adacq4_bit
adacq5
adacq5_bit
adacq6
adacq6_bit
adacq7
adacq7_bit
adact
adact0
adact0_bit
adact1
adact1_bit
adact2
adact2_bit
adact3
adact3_bit
adact4
adact4_bit
adaov
adaov_bit
adc_get_sample
adc_get_sample_ptr
adc_init
adc_init_advanced
adc_read
adcactpps
adcactpps0
adcactpps0_bit
adcactpps1
adcactpps1_bit
adcactpps2
adcactpps2_bit
adcactpps3
adcactpps3_bit
adcactpps4
adcactpps4_bit
adcalc0
adcalc0_bit
adcalc1
adcalc1_bit
adcalc2
adcalc2_bit
adcap
adcap0
adcap0_bit
adcap1
adcap1_bit
adcap2
adcap2_bit
adcap3
adcap3_bit
adcap4
adcap4_bit
adccs0
adccs0_bit
adccs1
adccs1_bit
adccs2
adccs2_bit
adccs3
adccs3_bit
adccs4
adccs4_bit
adccs5
adccs5_bit
adclk
adcmd
adcmd_bit
adcnt
adcnt0
adcnt0_bit
adcnt1
adcnt1_bit
adcnt2
adcnt2_bit
adcnt3
adcnt3_bit
adcnt4
adcnt4_bit
adcnt5
adcnt5_bit
adcnt6
adcnt6_bit
adcnt7
adcnt7_bit
adcon0
adcon1
adcon2
adcon3
adcont
adcont_bit
adcrs0
adcrs0_bit
adcrs1
adcrs1_bit
adcrs2
adcrs2_bit
adcs
adcs_bit
add_32x32_fp
adden
adden_bit
addsen
addsen_bit
aderr
aderr0
aderr0_bit
aderr1
aderr1_bit
aderr10
aderr10_bit
aderr11
aderr11_bit
aderr12
aderr12_bit
aderr13
aderr13_bit
aderr14
aderr14_bit
aderr15
aderr15_bit
aderr2
aderr2_bit
aderr3
aderr3_bit
aderr4
aderr4_bit
aderr5
aderr5_bit
aderr6
aderr6_bit
aderr7
aderr7_bit
aderr8
aderr8_bit
aderr9
aderr9_bit
aderrh
aderrl
adfltr
adfltr0
adfltr0_bit
adfltr1
adfltr1_bit
adfltr10
adfltr10_bit
adfltr11
adfltr11_bit
adfltr12
adfltr12_bit
adfltr13
adfltr13_bit
adfltr14
adfltr14_bit
adfltr15
adfltr15_bit
adfltr2
adfltr2_bit
adfltr3
adfltr3_bit
adfltr4
adfltr4_bit
adfltr5
adfltr5_bit
adfltr6
adfltr6_bit
adfltr7
adfltr7_bit
adfltr8
adfltr8_bit
adfltr9
adfltr9_bit
adfltrh
adfltrl
adfm0
adfm0_bit
adfrm0
adfrm0_bit
adfvr0
adfvr0_bit
adfvr1
adfvr1_bit
adgo
adgo_bit
adgpol
adgpol_bit
adie
adie_bit
adif
adif_bit
adipen
adipen_bit
adlth
adlth0
adlth0_bit
adlth1
adlth1_bit
adlth10
adlth10_bit
adlth11
adlth11_bit
adlth12
adlth12_bit
adlth13
adlth13_bit
adlth14
adlth14_bit
adlth15
adlth15_bit
adlth2
adlth2_bit
adlth3
adlth3_bit
adlth4
adlth4_bit
adlth5
adlth5_bit
adlth6
adlth6_bit
adlth7
adlth7_bit
adlth8
adlth8_bit
adlth9
adlth9_bit
adlthh
adlthl
adlthr
adlthr_bit
admact
admact_bit
admath
admath_bit
admd0
admd0_bit
admd1
admd1_bit
admd2
admd2_bit
admsk11
admsk11_bit
admsk12
admsk12_bit
admsk21
admsk21_bit
admsk22
admsk22_bit
admsk31
admsk31_bit
admsk32
admsk32_bit
admsk41
admsk41_bit
admsk42
admsk42_bit
admsk51
admsk51_bit
admsk52
admsk52_bit
adnref
adnref_bit
adoen
adoen_bit
adon
adon_bit
ador
ador_bit
adpch
adpch0
adpch0_bit
adpch1
adpch1_bit
adpch2
adpch2_bit
adpch3
adpch3_bit
adpch4
adpch4_bit
adpch5
adpch5_bit
adppol
adppol_bit
adpre
adpre0
adpre0_bit
adpre1
adpre1_bit
adpre2
adpre2_bit
adpre3
adpre3_bit
adpre4
adpre4_bit
adpre5
adpre5_bit
adpre6
adpre6_bit
adpre7
adpre7_bit
adpref0
adpref0_bit
adpref1
adpref1_bit
adprev
adprev0
adprev0_bit
adprev1
adprev1_bit
adprev10
adprev10_bit
adprev11
adprev11_bit
adprev12
adprev12_bit
adprev13
adprev13_bit
adprev14
adprev14_bit
adprev15
adprev15_bit
adprev2
adprev2_bit
adprev3
adprev3_bit
adprev4
adprev4_bit
adprev5
adprev5_bit
adprev6
adprev6_bit
adprev7
adprev7_bit
adprev8
adprev8_bit
adprev9
adprev9_bit
adprevh
adprevl
adpsis
adpsis_bit
adref
adres
adresh
adresl
adrpt
adrpt0
adrpt0_bit
adrpt1
adrpt1_bit
adrpt2
adrpt2_bit
adrpt3
adrpt3_bit
adrpt4
adrpt4_bit
adrpt5
adrpt5_bit
adrpt6
adrpt6_bit
adrpt7
adrpt7_bit
adsoi
adsoi_bit
adstat
adstat0
adstat0_bit
adstat1
adstat1_bit
adstat2
adstat2_bit
adstpt
adstpt0
adstpt0_bit
adstpt1
adstpt1_bit
adstpt10
adstpt10_bit
adstpt11
adstpt11_bit
adstpt12
adstpt12_bit
adstpt13
adstpt13_bit
adstpt14
adstpt14_bit
adstpt15
adstpt15_bit
adstpt2
adstpt2_bit
adstpt3
adstpt3_bit
adstpt4
adstpt4_bit
adstpt5
adstpt5_bit
adstpt6
adstpt6_bit
adstpt7
adstpt7_bit
adstpt8
adstpt8_bit
adstpt9
adstpt9_bit
adstpth
adstptl
adtie
adtie_bit
adtif
adtif_bit
adtmd0
adtmd0_bit
adtmd1
adtmd1_bit
adtmd2
adtmd2_bit
aduth
aduth0
aduth0_bit
aduth1
aduth1_bit
aduth10
aduth10_bit
aduth11
aduth11_bit
aduth12
aduth12_bit
aduth13
aduth13_bit
aduth14
aduth14_bit
aduth15
aduth15_bit
aduth2
aduth2_bit
aduth3
aduth3_bit
aduth4
aduth4_bit
aduth5
aduth5_bit
aduth6
aduth6_bit
aduth7
aduth7_bit
aduth8
aduth8_bit
aduth9
aduth9_bit
aduthh
aduthl
aduthr
aduthr_bit
ansa0
ansa0_bit
ansa1
ansa1_bit
ansa2
ansa2_bit
ansa3
ansa3_bit
ansa4
ansa4_bit
ansa5
ansa5_bit
ansa6
ansa6_bit
ansa7
ansa7_bit
ansb0
ansb0_bit
ansb1
ansb1_bit
ansb2
ansb2_bit
ansb3
ansb3_bit
ansb4
ansb4_bit
ansb5
ansb5_bit
ansb6
ansb6_bit
ansb7
ansb7_bit
ansc0
ansc0_bit
ansc1
ansc1_bit
ansc2
ansc2_bit
ansc3
ansc3_bit
ansc4
ansc4_bit
ansc5
ansc5_bit
ansc6
ansc6_bit
ansc7
ansc7_bit
ansd0
ansd0_bit
ansd1
ansd1_bit
ansd2
ansd2_bit
ansd3
ansd3_bit
ansd4
ansd4_bit
ansd5
ansd5_bit
ansd6
ansd6_bit
ansd7
ansd7_bit
anse0
anse0_bit
anse1
anse1_bit
anse2
anse2_bit
ansela
anselb
anselc
anseld
ansele
atu_reset
auto_delta
b_long
b_short
b_xlong
b0
b1
b2
b3
b4
b5
b6
b7
baud1con
baudcon
baudcon1
baudctl
baudctl1
bcd2dec
bcd2dec16
bcl1ie
bcl1ie_bit
bcl1if
bcl1if_bit
bcl2ie
bcl2ie_bit
bcl2if
bcl2if_bit
bf1
bf1_bit
bf2
bf2_bit
boot16_delay_5ms
borcon
borrdy
borrdy_bit
brg16
brg16_bit
brgh
brgh_bit
bsr
bsr_shad
bsr0
bsr0_bit
bsr1
bsr1_bit
bsr2
bsr2_bit
bsr3
bsr3_bit
bsr4
bsr4_bit
bsr5
bsr5_bit
btn_1_cnt
btn_2_cnt
btn_cnt
btn_long
btn_short
btn_xlong
button
byte2double
bytetohex
bytetostr
bytetostrwithzeros
c
c_100
c_1000
c_22
c_220
c_2200
c_47
c_470
c_bit
c_linear
c_shad
c_shad_bit
c_sw
c1hys
c1hys_bit
c1ie
c1ie_bit
c1if
c1if_bit
c1intn
c1intn_bit
c1intp
c1intp_bit
c1nch0
c1nch0_bit
c1nch1
c1nch1_bit
c1nch2
c1nch2_bit
c1on
c1on_bit
c1pch0
c1pch0_bit
c1pch1
c1pch1_bit
c1pch2
c1pch2_bit
c1pol
c1pol_bit
c1sp
c1sp_bit
c1sync
c1sync_bit
c1tsel0
c1tsel0_bit
c1tsel1
c1tsel1_bit
c2hys
c2hys_bit
c2ie
c2ie_bit
c2if
c2if_bit
c2intn
c2intn_bit
c2intp
c2intp_bit
c2nch0
c2nch0_bit
c2nch1
c2nch1_bit
c2nch2
c2nch2_bit
c2on
c2on_bit
c2pch0
c2pch0_bit
c2pch1
c2pch1_bit
c2pch2
c2pch2_bit
c2pol
c2pol_bit
c2sp
c2sp_bit
c2sync
c2sync_bit
c2tsel0
c2tsel0_bit
c2tsel1
c2tsel1_bit
c3tsel0
c3tsel0_bit
c3tsel1
c3tsel1_bit
c4tsel0
c4tsel0_bit
c4tsel1
c4tsel1_bit
c5tsel0
c5tsel0_bit
c5tsel1
c5tsel1_bit
cal01
cal01_bit
cal03
cal03_bit
cal05
cal05_bit
cal11
cal11_bit
cal13
cal13_bit
cal15
cal15_bit
cal21
cal21_bit
cal23
cal23_bit
cal25
cal25_bit
cal31
cal31_bit
cal33
cal33_bit
cal35
cal35_bit
cal41
cal41_bit
cal43
cal43_bit
cal45
cal45_bit
cal51
cal51_bit
cal53
cal53_bit
cal55
cal55_bit
cal61
cal61_bit
cal63
cal63_bit
cal65
cal65_bit
cal71
cal71_bit
cal73
cal73_bit
cal75
cal75_bit
cap
carry
carry_bit
ccdcon
ccden
ccden_bit
ccdna
ccdna0
ccdna0_bit
ccdna1
ccdna1_bit
ccdna2
ccdna2_bit
ccdna3
ccdna3_bit
ccdna4
ccdna4_bit
ccdna5
ccdna5_bit
ccdna6
ccdna6_bit
ccdna7
ccdna7_bit
ccdnb
ccdnb0
ccdnb0_bit
ccdnb1
ccdnb1_bit
ccdnb2
ccdnb2_bit
ccdnb3
ccdnb3_bit
ccdnb4
ccdnb4_bit
ccdnb5
ccdnb5_bit
ccdnb6
ccdnb6_bit
ccdnb7
ccdnb7_bit
ccdnc
ccdnc0
ccdnc0_bit
ccdnc1
ccdnc1_bit
ccdnc2
ccdnc2_bit
ccdnc3
ccdnc3_bit
ccdnc4
ccdnc4_bit
ccdnc5
ccdnc5_bit
ccdnc6
ccdnc6_bit
ccdnc7
ccdnc7_bit
ccdnd
ccdnd0
ccdnd0_bit
ccdnd1
ccdnd1_bit
ccdnd2
ccdnd2_bit
ccdnd3
ccdnd3_bit
ccdnd4
ccdnd4_bit
ccdnd5
ccdnd5_bit
ccdnd6
ccdnd6_bit
ccdnd7
ccdnd7_bit
ccdne
ccdne0
ccdne0_bit
ccdne1
ccdne1_bit
ccdne2
ccdne2_bit
ccdpa
ccdpa0
ccdpa0_bit
ccdpa1
ccdpa1_bit
ccdpa2
ccdpa2_bit
ccdpa3
ccdpa3_bit
ccdpa4
ccdpa4_bit
ccdpa5
ccdpa5_bit
ccdpa6
ccdpa6_bit
ccdpa7
ccdpa7_bit
ccdpb
ccdpb0
ccdpb0_bit
ccdpb1
ccdpb1_bit
ccdpb2
ccdpb2_bit
ccdpb3
ccdpb3_bit
ccdpb4
ccdpb4_bit
ccdpb5
ccdpb5_bit
ccdpb6
ccdpb6_bit
ccdpb7
ccdpb7_bit
ccdpc
ccdpc0
ccdpc0_bit
ccdpc1
ccdpc1_bit
ccdpc2
ccdpc2_bit
ccdpc3
ccdpc3_bit
ccdpc4
ccdpc4_bit
ccdpc5
ccdpc5_bit
ccdpc6
ccdpc6_bit
ccdpc7
ccdpc7_bit
ccdpd
ccdpd0
ccdpd0_bit
ccdpd1
ccdpd1_bit
ccdpd2
ccdpd2_bit
ccdpd3
ccdpd3_bit
ccdpd4
ccdpd4_bit
ccdpd5
ccdpd5_bit
ccdpd6
ccdpd6_bit
ccdpd7
ccdpd7_bit
ccdpe
ccdpe0
ccdpe0_bit
ccdpe1
ccdpe1_bit
ccdpe2
ccdpe2_bit
ccds0
ccds0_bit
ccds1
ccds1_bit
ccp1cap
ccp1con
ccp1cts0
ccp1cts0_bit
ccp1cts1
ccp1cts1_bit
ccp1cts2
ccp1cts2_bit
ccp1en
ccp1en_bit
ccp1fmt
ccp1fmt_bit
ccp1ie
ccp1ie_bit
ccp1if
ccp1if_bit
ccp1md
ccp1md_bit
ccp1mode0
ccp1mode0_bit
ccp1mode1
ccp1mode1_bit
ccp1mode2
ccp1mode2_bit
ccp1mode3
ccp1mode3_bit
ccp1oe
ccp1oe_bit
ccp1out
ccp1out_bit
ccp1pps
ccp1pps0
ccp1pps0_bit
ccp1pps1
ccp1pps1_bit
ccp1pps2
ccp1pps2_bit
ccp1pps3
ccp1pps3_bit
ccp1pps4
ccp1pps4_bit
ccp2cap
ccp2con
ccp2cts0
ccp2cts0_bit
ccp2cts1
ccp2cts1_bit
ccp2cts2
ccp2cts2_bit
ccp2en
ccp2en_bit
ccp2fmt
ccp2fmt_bit
ccp2ie
ccp2ie_bit
ccp2if
ccp2if_bit
ccp2md
ccp2md_bit
ccp2mode0
ccp2mode0_bit
ccp2mode1
ccp2mode1_bit
ccp2mode2
ccp2mode2_bit
ccp2mode3
ccp2mode3_bit
ccp2oe
ccp2oe_bit
ccp2out
ccp2out_bit
ccp2pps
ccp2pps0
ccp2pps0_bit
ccp2pps1
ccp2pps1_bit
ccp2pps2
ccp2pps2_bit
ccp2pps3
ccp2pps3_bit
ccp2pps4
ccp2pps4_bit
ccp3cap
ccp3con
ccp3cts0
ccp3cts0_bit
ccp3cts1
ccp3cts1_bit
ccp3cts2
ccp3cts2_bit
ccp3en
ccp3en_bit
ccp3fmt
ccp3fmt_bit
ccp3ie
ccp3ie_bit
ccp3if
ccp3if_bit
ccp3md
ccp3md_bit
ccp3mode0
ccp3mode0_bit
ccp3mode1
ccp3mode1_bit
ccp3mode2
ccp3mode2_bit
ccp3mode3
ccp3mode3_bit
ccp3oe
ccp3oe_bit
ccp3out
ccp3out_bit
ccp3pps
ccp3pps0
ccp3pps0_bit
ccp3pps1
ccp3pps1_bit
ccp3pps2
ccp3pps2_bit
ccp3pps3
ccp3pps3_bit
ccp3pps4
ccp3pps4_bit
ccp4cap
ccp4con
ccp4cts0
ccp4cts0_bit
ccp4cts1
ccp4cts1_bit
ccp4cts2
ccp4cts2_bit
ccp4en
ccp4en_bit
ccp4fmt
ccp4fmt_bit
ccp4ie
ccp4ie_bit
ccp4if
ccp4if_bit
ccp4md
ccp4md_bit
ccp4mode0
ccp4mode0_bit
ccp4mode1
ccp4mode1_bit
ccp4mode2
ccp4mode2_bit
ccp4mode3
ccp4mode3_bit
ccp4oe
ccp4oe_bit
ccp4out
ccp4out_bit
ccp4pps
ccp4pps0
ccp4pps0_bit
ccp4pps1
ccp4pps1_bit
ccp4pps2
ccp4pps2_bit
ccp4pps3
ccp4pps3_bit
ccp4pps4
ccp4pps4_bit
ccp5cap
ccp5con
ccp5cts0
ccp5cts0_bit
ccp5cts1
ccp5cts1_bit
ccp5cts2
ccp5cts2_bit
ccp5en
ccp5en_bit
ccp5fmt
ccp5fmt_bit
ccp5ie
ccp5ie_bit
ccp5if
ccp5if_bit
ccp5md
ccp5md_bit
ccp5mode0
ccp5mode0_bit
ccp5mode1
ccp5mode1_bit
ccp5mode2
ccp5mode2_bit
ccp5mode3
ccp5mode3_bit
ccp5oe
ccp5oe_bit
ccp5out
ccp5out_bit
ccp5pps
ccp5pps0
ccp5pps0_bit
ccp5pps1
ccp5pps1_bit
ccp5pps2
ccp5pps2_bit
ccp5pps3
ccp5pps3_bit
ccp5pps4
ccp5pps4_bit
ccp5pps5
ccp5pps5_bit
ccpr1
ccpr1h
ccpr1l
ccpr2
ccpr2h
ccpr2l
ccpr3
ccpr3h
ccpr3l
ccpr4
ccpr4h
ccpr4l
ccpr5
ccpr5h
ccpr5l
ccptmrs0
ccptmrs1
cdafvr0
cdafvr0_bit
cdafvr1
cdafvr1_bit
cdiv0
cdiv0_bit
cdiv1
cdiv1_bit
cdiv2
cdiv2_bit
cdiv3
cdiv3_bit
check_reset_flags
cke1
cke1_bit
cke2
cke2_bit
ckp1
ckp1_bit
ckp2
ckp2_bit
clc1con
clc1gls0
clc1gls1
clc1gls2
clc1gls3
clc1ie
clc1ie_bit
clc1if
clc1if_bit
clc1md
clc1md_bit
clc1pol
clc1sel0
clc1sel1
clc1sel2
clc1sel3
clc2con
clc2gls0
clc2gls1
clc2gls2
clc2gls3
clc2ie
clc2ie_bit
clc2if
clc2if_bit
clc2md
clc2md_bit
clc2pol
clc2sel0
clc2sel1
clc2sel2
clc2sel3
clc3con
clc3gls0
clc3gls1
clc3gls2
clc3gls3
clc3ie
clc3ie_bit
clc3if
clc3if_bit
clc3md
clc3md_bit
clc3pol
clc3sel0
clc3sel1
clc3sel2
clc3sel3
clc4con
clc4gls0
clc4gls1
clc4gls2
clc4gls3
clc4ie
clc4ie_bit
clc4if
clc4if_bit
clc4md
clc4md_bit
clc4pol
clc4sel0
clc4sel1
clc4sel2
clc4sel3
clcdata
clcin0pps
clcin0pps0
clcin0pps0_bit
clcin0pps1
clcin0pps1_bit
clcin0pps2
clcin0pps2_bit
clcin0pps3
clcin0pps3_bit
clcin0pps4
clcin0pps4_bit
clcin1pps
clcin1pps0
clcin1pps0_bit
clcin1pps1
clcin1pps1_bit
clcin1pps2
clcin1pps2_bit
clcin1pps3
clcin1pps3_bit
clcin1pps4
clcin1pps4_bit
clcin2pps
clcin2pps0
clcin2pps0_bit
clcin2pps1
clcin2pps1_bit
clcin2pps2
clcin2pps2_bit
clcin2pps3
clcin2pps3_bit
clcin2pps4
clcin2pps4_bit
clcin3pps
clcin3pps0
clcin3pps0_bit
clcin3pps1
clcin3pps1_bit
clcin3pps2
clcin3pps2_bit
clcin3pps3
clcin3pps3_bit
clcin3pps4
clcin3pps4_bit
clkrclk
clkrclk0
clkrclk0_bit
clkrclk1
clkrclk1_bit
clkrclk2
clkrclk2_bit
clkrclk3
clkrclk3_bit
clkrcon
clkrdc0
clkrdc0_bit
clkrdc1
clkrdc1_bit
clkrdiv0
clkrdiv0_bit
clkrdiv1
clkrdiv1_bit
clkrdiv2
clkrdiv2_bit
clkren
clkren_bit
clkrmd
clkrmd_bit
cm1con0
cm1con1
cm1nsel
cm1psel
cm2con0
cm2con1
cm2nsel
cm2psel
cmout
cmp1md
cmp1md_bit
cmp2md
cmp2md_bit
cmstat
coarse_cap
coarse_tune
comfrem
compare_double
correction
cosc0
cosc0_bit
cosc1
cosc1_bit
cosc2
cosc2_bit
cpudoze
crcacc
crcacch
crcaccl
crccon0
crccon1
crcdata
crcdath
crcdatl
crcen
crcen_bit
crcgo
crcgo_bit
crcie
crcie_bit
crcif
crcif_bit
crcmd
crcmd_bit
crcshft
crcshifth
crcshiftl
crcxor
crcxorh
crcxorl
cren
cren_bit
csrc
csrc_bit
cswhold
cswhold_bit
cswie
cswie_bit
cswif
cswif_bit
cwg1as0
cwg1as1
cwg1clkcon
cwg1con0
cwg1con1
cwg1cs
cwg1cs_bit
cwg1dbf
cwg1dbf0
cwg1dbf0_bit
cwg1dbf1
cwg1dbf1_bit
cwg1dbf2
cwg1dbf2_bit
cwg1dbf3
cwg1dbf3_bit
cwg1dbf4
cwg1dbf4_bit
cwg1dbf5
cwg1dbf5_bit
cwg1dbr
cwg1dbr0
cwg1dbr0_bit
cwg1dbr1
cwg1dbr1_bit
cwg1dbr2
cwg1dbr2_bit
cwg1dbr3
cwg1dbr3_bit
cwg1dbr4
cwg1dbr4_bit
cwg1dbr5
cwg1dbr5_bit
cwg1en
cwg1en_bit
cwg1ie
cwg1ie_bit
cwg1if
cwg1if_bit
cwg1in
cwg1in_bit
cwg1ism
cwg1ism0
cwg1ism0_bit
cwg1ism1
cwg1ism1_bit
cwg1ism2
cwg1ism2_bit
cwg1ism3
cwg1ism3_bit
cwg1ld
cwg1ld_bit
cwg1lsac0
cwg1lsac0_bit
cwg1lsac1
cwg1lsac1_bit
cwg1lsbd0
cwg1lsbd0_bit
cwg1lsbd1
cwg1lsbd1_bit
cwg1md
cwg1md_bit
cwg1mode0
cwg1mode0_bit
cwg1mode1
cwg1mode1_bit
cwg1mode2
cwg1mode2_bit
cwg1ovra
cwg1ovra_bit
cwg1ovrb
cwg1ovrb_bit
cwg1ovrc
cwg1ovrc_bit
cwg1ovrd
cwg1ovrd_bit
cwg1pola
cwg1pola_bit
cwg1polb
cwg1polb_bit
cwg1polc
cwg1polc_bit
cwg1pold
cwg1pold_bit
cwg1pps
cwg1pps0
cwg1pps0_bit
cwg1pps1
cwg1pps1_bit
cwg1pps2
cwg1pps2_bit
cwg1pps3
cwg1pps3_bit
cwg1pps4
cwg1pps4_bit
cwg1ren
cwg1ren_bit
cwg1shutdown
cwg1shutdown_bit
cwg1str
cwg1stra
cwg1stra_bit
cwg1strb
cwg1strb_bit
cwg1strc
cwg1strc_bit
cwg1strd
cwg1strd_bit
cwg2as0
cwg2as1
cwg2clkcon
cwg2con0
cwg2con1
cwg2cs
cwg2cs_bit
cwg2dbf
cwg2dbf0
cwg2dbf0_bit
cwg2dbf1
cwg2dbf1_bit
cwg2dbf2
cwg2dbf2_bit
cwg2dbf3
cwg2dbf3_bit
cwg2dbf4
cwg2dbf4_bit
cwg2dbf5
cwg2dbf5_bit
cwg2dbr
cwg2dbr0
cwg2dbr0_bit
cwg2dbr1
cwg2dbr1_bit
cwg2dbr2
cwg2dbr2_bit
cwg2dbr3
cwg2dbr3_bit
cwg2dbr4
cwg2dbr4_bit
cwg2dbr5
cwg2dbr5_bit
cwg2en
cwg2en_bit
cwg2ie
cwg2ie_bit
cwg2if
cwg2if_bit
cwg2in
cwg2in_bit
cwg2ism
cwg2ism0
cwg2ism0_bit
cwg2ism1
cwg2ism1_bit
cwg2ism2
cwg2ism2_bit
cwg2ism3
cwg2ism3_bit
cwg2ld
cwg2ld_bit
cwg2lsac0
cwg2lsac0_bit
cwg2lsac1
cwg2lsac1_bit
cwg2lsbd0
cwg2lsbd0_bit
cwg2lsbd1
cwg2lsbd1_bit
cwg2md
cwg2md_bit
cwg2mode0
cwg2mode0_bit
cwg2mode1
cwg2mode1_bit
cwg2mode2
cwg2mode2_bit
cwg2ovra
cwg2ovra_bit
cwg2ovrb
cwg2ovrb_bit
cwg2ovrc
cwg2ovrc_bit
cwg2ovrd
cwg2ovrd_bit
cwg2pola
cwg2pola_bit
cwg2polb
cwg2polb_bit
cwg2polc
cwg2polc_bit
cwg2pold
cwg2pold_bit
cwg2pps
cwg2pps0
cwg2pps0_bit
cwg2pps1
cwg2pps1_bit
cwg2pps2
cwg2pps2_bit
cwg2pps3
cwg2pps3_bit
cwg2pps4
cwg2pps4_bit
cwg2ren
cwg2ren_bit
cwg2shutdown
cwg2shutdown_bit
cwg2str
cwg2stra
cwg2stra_bit
cwg2strb
cwg2strb_bit
cwg2strc
cwg2strc_bit
cwg2strd
cwg2strd_bit
cwg3as0
cwg3as1
cwg3clkcon
cwg3con0
cwg3con1
cwg3cs
cwg3cs_bit
cwg3dbf
cwg3dbf0
cwg3dbf0_bit
cwg3dbf1
cwg3dbf1_bit
cwg3dbf2
cwg3dbf2_bit
cwg3dbf3
cwg3dbf3_bit
cwg3dbf4
cwg3dbf4_bit
cwg3dbf5
cwg3dbf5_bit
cwg3dbr
cwg3dbr0
cwg3dbr0_bit
cwg3dbr1
cwg3dbr1_bit
cwg3dbr2
cwg3dbr2_bit
cwg3dbr3
cwg3dbr3_bit
cwg3dbr4
cwg3dbr4_bit
cwg3dbr5
cwg3dbr5_bit
cwg3en
cwg3en_bit
cwg3ie
cwg3ie_bit
cwg3if
cwg3if_bit
cwg3in
cwg3in_bit
cwg3ism
cwg3ism0
cwg3ism0_bit
cwg3ism1
cwg3ism1_bit
cwg3ism2
cwg3ism2_bit
cwg3ism3
cwg3ism3_bit
cwg3ld
cwg3ld_bit
cwg3lsac0
cwg3lsac0_bit
cwg3lsac1
cwg3lsac1_bit
cwg3lsbd0
cwg3lsbd0_bit
cwg3lsbd1
cwg3lsbd1_bit
cwg3md
cwg3md_bit
cwg3mode0
cwg3mode0_bit
cwg3mode1
cwg3mode1_bit
cwg3mode2
cwg3mode2_bit
cwg3ovra
cwg3ovra_bit
cwg3ovrb
cwg3ovrb_bit
cwg3ovrc
cwg3ovrc_bit
cwg3ovrd
cwg3ovrd_bit
cwg3pola
cwg3pola_bit
cwg3polb
cwg3polb_bit
cwg3polc
cwg3polc_bit
cwg3pold
cwg3pold_bit
cwg3pps
cwg3pps0
cwg3pps0_bit
cwg3pps1
cwg3pps1_bit
cwg3pps2
cwg3pps2_bit
cwg3pps3
cwg3pps3_bit
cwg3pps4
cwg3pps4_bit
cwg3ren
cwg3ren_bit
cwg3shutdown
cwg3shutdown_bit
cwg3str
cwg3stra
cwg3stra_bit
cwg3strb
cwg3strb_bit
cwg3strc
cwg3strc_bit
cwg3strd
cwg3strd_bit
d_a1
d_a1_bit
d_a2
d_a2_bit
d_na1
d_na1_bit
d_na2
d_na2_bit
da1
da1_bit
da2
da2_bit
dabort
dabort_bit
dac1con0
dac1con1
dac1en
dac1en_bit
dac1nss
dac1nss_bit
dac1oe1
dac1oe1_bit
dac1oe2
dac1oe2_bit
dac1pss0
dac1pss0_bit
dac1pss1
dac1pss1_bit
dac1r0
dac1r0_bit
dac1r1
dac1r1_bit
dac1r2
dac1r2_bit
dac1r3
dac1r3_bit
dac1r4
dac1r4_bit
dacmd
dacmd_bit
data_address1
data_address1_bit
data_address2
data_address2_bit
data0
data0_bit
data1
data1_bit
data10
data10_bit
data11
data11_bit
data12
data12_bit
data13
data13_bit
data14
data14_bit
data15
data15_bit
data2
data2_bit
data3
data3_bit
data4
data4_bit
data5
data5_bit
data6
data6_bit
data7
data7_bit
data8
data8_bit
data9
data9_bit
dc
dc_bit
dc_shad
dc_shad_bit
dec2bcd
dec2bcd16
delay_100ms
delay_10ms
delay_10us
delay_1ms
delay_1sec
delay_1us
delay_22us
delay_500us
delay_50us
delay_5500us
delay_5ms
delay_80us
delay_8ms
delay_cyc
disp_cnt
disp_time
div_16x16_s
div_16x16_s_l
div_16x16_u
div_32x32_fp
div_32x32_s
div_32x32_u
div_8x8_s
div_8x8_u
dlen0
dlen0_bit
dlen1
dlen1_bit
dlen2
dlen2_bit
dlen3
dlen3_bit
doe
doe_bit
done
done_bit
double2byte
double2int
double2longint
double2longword
double2word
doze0
doze0_bit
doze1
doze1_bit
doze2
doze2_bit
dozen
dozen_bit
draw_power
draw_swr
dsmmd
dsmmd_bit
e_long
e_short
equals_double
ext_long
extoen
extoen_bit
extor
extor_bit
f
ferr
ferr_bit
fixsign32
floattostr
floattostr_fixlen
free
free_bit
fsr0
fsr0h
fsr0h_shad
fsr0l
fsr0l_shad
fsr0ptr
fsr1
fsr1h
fsr1h_shad
fsr1l
fsr1l_shad
fsr1ptr
full
full_bit
fvrcon
fvren
fvren_bit
fvrmd
fvrmd_bit
fvrrdy
fvrrdy_bit
g1en
g1en_bit
g2en
g2en_bit
g3en
g3en_bit
gcen1
gcen1_bit
gcen2
gcen2_bit
get_batt
get_forward
get_fosc_khz
get_pwr
get_reverse
get_swr
gie
gie_bit
go_ndone
go_ndone_bit
go_not_done
go_not_done_bit
gre
greating
green
hadr0
hadr0_bit
hadr1
hadr1_bit
hadr10
hadr10_bit
hadr11
hadr11_bit
hadr12
hadr12_bit
hadr13
hadr13_bit
hadr14
hadr14_bit
hadr15
hadr15_bit
hadr2
hadr2_bit
hadr3
hadr3_bit
hadr4
hadr4_bit
hadr5
hadr5_bit
hadr6
hadr6_bit
hadr7
hadr7_bit
hadr8
hadr8_bit
hadr9
hadr9_bit
hextobyte
hextoint
hextolong
hextolongint
hextoshort
hextoword
hffrq0
hffrq0_bit
hffrq1
hffrq1_bit
hffrq2
hffrq2_bit
hfoen
hfoen_bit
hfor
hfor_bit
hftun0
hftun0_bit
hftun1
hftun1_bit
hftun2
hftun2_bit
hftun3
hftun3_bit
hftun4
hftun4_bit
hftun5
hftun5_bit
i2c_dat1
i2c_dat1_bit
i2c_dat2
i2c_dat2_bit
i2c_read1
i2c_read1_bit
i2c_read2
i2c_read2_bit
i2c_start1
i2c_start1_bit
i2c_start2
i2c_start2_bit
ics_auto
ics_off
idlen
idlen_bit
ind
indf0
indf1
inlvla
inlvla0
inlvla0_bit
inlvla1
inlvla1_bit
inlvla2
inlvla2_bit
inlvla3
inlvla3_bit
inlvla4
inlvla4_bit
inlvla5
inlvla5_bit
inlvla6
inlvla6_bit
inlvla7
inlvla7_bit
inlvlb
inlvlb0
inlvlb0_bit
inlvlb1
inlvlb1_bit
inlvlb2
inlvlb2_bit
inlvlb3
inlvlb3_bit
inlvlb4
inlvlb4_bit
inlvlb5
inlvlb5_bit
inlvlb6
inlvlb6_bit
inlvlb7
inlvlb7_bit
inlvlc
inlvlc0
inlvlc0_bit
inlvlc1
inlvlc1_bit
inlvlc2
inlvlc2_bit
inlvlc3
inlvlc3_bit
inlvlc4
inlvlc4_bit
inlvlc5
inlvlc5_bit
inlvlc6
inlvlc6_bit
inlvlc7
inlvlc7_bit
inlvld
inlvld0
inlvld0_bit
inlvld1
inlvld1_bit
inlvld2
inlvld2_bit
inlvld3
inlvld3_bit
inlvld4
inlvld4_bit
inlvld5
inlvld5_bit
inlvld6
inlvld6_bit
inlvld7
inlvld7_bit
inlvle
inlvle0
inlvle0_bit
inlvle1
inlvle1_bit
inlvle2
inlvle2_bit
inlvle3
inlvle3_bit
int2double
intcon
inte
inte_bit
intedg
intedg_bit
interupt
intf
intf_bit
intm
intm_bit
intpps
intpps0
intpps0_bit
intpps1
intpps1_bit
intpps2
intpps2_bit
intpps3
intpps3_bit
inttohex
inttostr
inttostrwithzeros
invalid
invalid_bit
iocaf
iocaf0
iocaf0_bit
iocaf1
iocaf1_bit
iocaf2
iocaf2_bit
iocaf3
iocaf3_bit
iocaf4
iocaf4_bit
iocaf5
iocaf5_bit
iocaf6
iocaf6_bit
iocaf7
iocaf7_bit
iocan
iocan0
iocan0_bit
iocan1
iocan1_bit
iocan2
iocan2_bit
iocan3
iocan3_bit
iocan4
iocan4_bit
iocan5
iocan5_bit
iocan6
iocan6_bit
iocan7
iocan7_bit
iocap
iocap0
iocap0_bit
iocap1
iocap1_bit
iocap2
iocap2_bit
iocap3
iocap3_bit
iocap4
iocap4_bit
iocap5
iocap5_bit
iocap6
iocap6_bit
iocap7
iocap7_bit
iocbf
iocbf0
iocbf0_bit
iocbf1
iocbf1_bit
iocbf2
iocbf2_bit
iocbf3
iocbf3_bit
iocbf4
iocbf4_bit
iocbf5
iocbf5_bit
iocbf6
iocbf6_bit
iocbf7
iocbf7_bit
iocbn
iocbn0
iocbn0_bit
iocbn1
iocbn1_bit
iocbn2
iocbn2_bit
iocbn3
iocbn3_bit
iocbn4
iocbn4_bit
iocbn5
iocbn5_bit
iocbn6
iocbn6_bit
iocbn7
iocbn7_bit
iocbp
iocbp0
iocbp0_bit
iocbp1
iocbp1_bit
iocbp2
iocbp2_bit
iocbp3
iocbp3_bit
iocbp4
iocbp4_bit
iocbp5
iocbp5_bit
iocbp6
iocbp6_bit
iocbp7
iocbp7_bit
ioccf
ioccf0
ioccf0_bit
ioccf1
ioccf1_bit
ioccf2
ioccf2_bit
ioccf3
ioccf3_bit
ioccf4
ioccf4_bit
ioccf5
ioccf5_bit
ioccf6
ioccf6_bit
ioccf7
ioccf7_bit
ioccn
ioccn0
ioccn0_bit
ioccn1
ioccn1_bit
ioccn2
ioccn2_bit
ioccn3
ioccn3_bit
ioccn4
ioccn4_bit
ioccn5
ioccn5_bit
ioccn6
ioccn6_bit
ioccn7
ioccn7_bit
ioccp
ioccp0
ioccp0_bit
ioccp1
ioccp1_bit
ioccp2
ioccp2_bit
ioccp3
ioccp3_bit
ioccp4
ioccp4_bit
ioccp5
ioccp5_bit
ioccp6
ioccp6_bit
ioccp7
ioccp7_bit
iocef
iocef3
iocef3_bit
iocen
iocen3
iocen3_bit
iocep
iocep3
iocep3_bit
iocie
iocie_bit
iocif
iocif_bit
iocmd
iocmd_bit
l_010
l_022
l_045
l_100
l_1000
l_220
l_450
l_linear
ladr0
ladr0_bit
ladr1
ladr1_bit
ladr10
ladr10_bit
ladr11
ladr11_bit
ladr12
ladr12_bit
ladr13
ladr13_bit
ladr14
ladr14_bit
ladr15
ladr15_bit
ladr2
ladr2_bit
ladr3
ladr3_bit
ladr4
ladr4_bit
ladr5
ladr5_bit
ladr6
ladr6_bit
ladr7
ladr7_bit
ladr8
ladr8_bit
ladr9
ladr9_bit
lata
lata0
lata0_bit
lata1
lata1_bit
lata2
lata2_bit
lata3
lata3_bit
lata4
lata4_bit
lata5
lata5_bit
lata6
lata6_bit
lata7
lata7_bit
latb
latb0
latb0_bit
latb1
latb1_bit
latb2
latb2_bit
latb3
latb3_bit
latb4
latb4_bit
latb5
latb5_bit
latb6
latb6_bit
latb7
latb7_bit
latc
latc0
latc0_bit
latc1
latc1_bit
latc2
latc2_bit
latc3
latc3_bit
latc4
latc4_bit
latc5
latc5_bit
latc6
latc6_bit
latc7
latc7_bit
latd
latd0
latd0_bit
latd1
latd1_bit
latd2
latd2_bit
latd3
latd3_bit
latd4
latd4_bit
latd5
latd5_bit
latd6
latd6_bit
latd7
latd7_bit
late
late0
late0_bit
late1
late1_bit
late2
late2_bit
lc1d1s0
lc1d1s0_bit
lc1d1s1
lc1d1s1_bit
lc1d1s2
lc1d1s2_bit
lc1d1s3
lc1d1s3_bit
lc1d1s4
lc1d1s4_bit
lc1d1s5
lc1d1s5_bit
lc1d1s6
lc1d1s6_bit
lc1d1s7
lc1d1s7_bit
lc1d2s0
lc1d2s0_bit
lc1d2s1
lc1d2s1_bit
lc1d2s2
lc1d2s2_bit
lc1d2s3
lc1d2s3_bit
lc1d2s4
lc1d2s4_bit
lc1d2s5
lc1d2s5_bit
lc1d2s6
lc1d2s6_bit
lc1d2s7
lc1d2s7_bit
lc1d3s0
lc1d3s0_bit
lc1d3s1
lc1d3s1_bit
lc1d3s2
lc1d3s2_bit
lc1d3s3
lc1d3s3_bit
lc1d3s4
lc1d3s4_bit
lc1d3s5
lc1d3s5_bit
lc1d3s6
lc1d3s6_bit
lc1d3s7
lc1d3s7_bit
lc1d4s0
lc1d4s0_bit
lc1d4s1
lc1d4s1_bit
lc1d4s2
lc1d4s2_bit
lc1d4s3
lc1d4s3_bit
lc1d4s4
lc1d4s4_bit
lc1d4s5
lc1d4s5_bit
lc1d4s6
lc1d4s6_bit
lc1d4s7
lc1d4s7_bit
lc1en
lc1en_bit
lc1g1d1n
lc1g1d1n_bit
lc1g1d1t
lc1g1d1t_bit
lc1g1d2n
lc1g1d2n_bit
lc1g1d2t
lc1g1d2t_bit
lc1g1d3n
lc1g1d3n_bit
lc1g1d3t
lc1g1d3t_bit
lc1g1d4n
lc1g1d4n_bit
lc1g1d4t
lc1g1d4t_bit
lc1g1pol
lc1g1pol_bit
lc1g2d1n
lc1g2d1n_bit
lc1g2d1t
lc1g2d1t_bit
lc1g2d2n
lc1g2d2n_bit
lc1g2d2t
lc1g2d2t_bit
lc1g2d3n
lc1g2d3n_bit
lc1g2d3t
lc1g2d3t_bit
lc1g2d4n
lc1g2d4n_bit
lc1g2d4t
lc1g2d4t_bit
lc1g2pol
lc1g2pol_bit
lc1g3d1n
lc1g3d1n_bit
lc1g3d1t
lc1g3d1t_bit
lc1g3d2n
lc1g3d2n_bit
lc1g3d2t
lc1g3d2t_bit
lc1g3d3n
lc1g3d3n_bit
lc1g3d3t
lc1g3d3t_bit
lc1g3d4n
lc1g3d4n_bit
lc1g3d4t
lc1g3d4t_bit
lc1g3pol
lc1g3pol_bit
lc1g4d1n
lc1g4d1n_bit
lc1g4d1t
lc1g4d1t_bit
lc1g4d2n
lc1g4d2n_bit
lc1g4d2t
lc1g4d2t_bit
lc1g4d3n
lc1g4d3n_bit
lc1g4d3t
lc1g4d3t_bit
lc1g4d4n
lc1g4d4n_bit
lc1g4d4t
lc1g4d4t_bit
lc1g4pol
lc1g4pol_bit
lc1intn
lc1intn_bit
lc1intp
lc1intp_bit
lc1mode0
lc1mode0_bit
lc1mode1
lc1mode1_bit
lc1mode2
lc1mode2_bit
lc1out
lc1out_bit
lc1pol
lc1pol_bit
lc2d1s0
lc2d1s0_bit
lc2d1s1
lc2d1s1_bit
lc2d1s2
lc2d1s2_bit
lc2d1s3
lc2d1s3_bit
lc2d1s4
lc2d1s4_bit
lc2d1s5
lc2d1s5_bit
lc2d1s6
lc2d1s6_bit
lc2d1s7
lc2d1s7_bit
lc2d2s0
lc2d2s0_bit
lc2d2s1
lc2d2s1_bit
lc2d2s2
lc2d2s2_bit
lc2d2s3
lc2d2s3_bit
lc2d2s4
lc2d2s4_bit
lc2d2s5
lc2d2s5_bit
lc2d2s6
lc2d2s6_bit
lc2d2s7
lc2d2s7_bit
lc2d3s0
lc2d3s0_bit
lc2d3s1
lc2d3s1_bit
lc2d3s2
lc2d3s2_bit
lc2d3s3
lc2d3s3_bit
lc2d3s4
lc2d3s4_bit
lc2d3s5
lc2d3s5_bit
lc2d3s6
lc2d3s6_bit
lc2d3s7
lc2d3s7_bit
lc2d4s0
lc2d4s0_bit
lc2d4s1
lc2d4s1_bit
lc2d4s2
lc2d4s2_bit
lc2d4s3
lc2d4s3_bit
lc2d4s4
lc2d4s4_bit
lc2d4s5
lc2d4s5_bit
lc2d4s6
lc2d4s6_bit
lc2d4s7
lc2d4s7_bit
lc2en
lc2en_bit
lc2g1d1n
lc2g1d1n_bit
lc2g1d1t
lc2g1d1t_bit
lc2g1d2n
lc2g1d2n_bit
lc2g1d2t
lc2g1d2t_bit
lc2g1d3n
lc2g1d3n_bit
lc2g1d3t
lc2g1d3t_bit
lc2g1d4n
lc2g1d4n_bit
lc2g1d4t
lc2g1d4t_bit
lc2g1pol
lc2g1pol_bit
lc2g2d1n
lc2g2d1n_bit
lc2g2d1t
lc2g2d1t_bit
lc2g2d2n
lc2g2d2n_bit
lc2g2d2t
lc2g2d2t_bit
lc2g2d3n
lc2g2d3n_bit
lc2g2d3t
lc2g2d3t_bit
lc2g2d4n
lc2g2d4n_bit
lc2g2d4t
lc2g2d4t_bit
lc2g2pol
lc2g2pol_bit
lc2g3d1n
lc2g3d1n_bit
lc2g3d1t
lc2g3d1t_bit
lc2g3d2n
lc2g3d2n_bit
lc2g3d2t
lc2g3d2t_bit
lc2g3d3n
lc2g3d3n_bit
lc2g3d3t
lc2g3d3t_bit
lc2g3d4n
lc2g3d4n_bit
lc2g3d4t
lc2g3d4t_bit
lc2g3pol
lc2g3pol_bit
lc2g4d1n
lc2g4d1n_bit
lc2g4d1t
lc2g4d1t_bit
lc2g4d2n
lc2g4d2n_bit
lc2g4d2t
lc2g4d2t_bit
lc2g4d3n
lc2g4d3n_bit
lc2g4d3t
lc2g4d3t_bit
lc2g4d4n
lc2g4d4n_bit
lc2g4d4t
lc2g4d4t_bit
lc2g4pol
lc2g4pol_bit
lc2intn
lc2intn_bit
lc2intp
lc2intp_bit
lc2mode0
lc2mode0_bit
lc2mode1
lc2mode1_bit
lc2mode2
lc2mode2_bit
lc2out
lc2out_bit
lc2pol
lc2pol_bit
lc3d1s0
lc3d1s0_bit
lc3d1s1
lc3d1s1_bit
lc3d1s2
lc3d1s2_bit
lc3d1s3
lc3d1s3_bit
lc3d1s4
lc3d1s4_bit
lc3d1s5
lc3d1s5_bit
lc3d1s6
lc3d1s6_bit
lc3d1s7
lc3d1s7_bit
lc3d2s0
lc3d2s0_bit
lc3d2s1
lc3d2s1_bit
lc3d2s2
lc3d2s2_bit
lc3d2s3
lc3d2s3_bit
lc3d2s4
lc3d2s4_bit
lc3d2s5
lc3d2s5_bit
lc3d2s6
lc3d2s6_bit
lc3d2s7
lc3d2s7_bit
lc3d3s0
lc3d3s0_bit
lc3d3s1
lc3d3s1_bit
lc3d3s2
lc3d3s2_bit
lc3d3s3
lc3d3s3_bit
lc3d3s4
lc3d3s4_bit
lc3d3s5
lc3d3s5_bit
lc3d3s6
lc3d3s6_bit
lc3d3s7
lc3d3s7_bit
lc3d4s0
lc3d4s0_bit
lc3d4s1
lc3d4s1_bit
lc3d4s2
lc3d4s2_bit
lc3d4s3
lc3d4s3_bit
lc3d4s4
lc3d4s4_bit
lc3d4s5
lc3d4s5_bit
lc3d4s6
lc3d4s6_bit
lc3d4s7
lc3d4s7_bit
lc3en
lc3en_bit
lc3g1d1n
lc3g1d1n_bit
lc3g1d1t
lc3g1d1t_bit
lc3g1d2n
lc3g1d2n_bit
lc3g1d2t
lc3g1d2t_bit
lc3g1d3n
lc3g1d3n_bit
lc3g1d3t
lc3g1d3t_bit
lc3g1d4n
lc3g1d4n_bit
lc3g1d4t
lc3g1d4t_bit
lc3g1pol
lc3g1pol_bit
lc3g2d1n
lc3g2d1n_bit
lc3g2d1t
lc3g2d1t_bit
lc3g2d2n
lc3g2d2n_bit
lc3g2d2t
lc3g2d2t_bit
lc3g2d3n
lc3g2d3n_bit
lc3g2d3t
lc3g2d3t_bit
lc3g2d4n
lc3g2d4n_bit
lc3g2d4t
lc3g2d4t_bit
lc3g2pol
lc3g2pol_bit
lc3g3d1n
lc3g3d1n_bit
lc3g3d1t
lc3g3d1t_bit
lc3g3d2n
lc3g3d2n_bit
lc3g3d2t
lc3g3d2t_bit
lc3g3d3n
lc3g3d3n_bit
lc3g3d3t
lc3g3d3t_bit
lc3g3d4n
lc3g3d4n_bit
lc3g3d4t
lc3g3d4t_bit
lc3g3pol
lc3g3pol_bit
lc3g4d1n
lc3g4d1n_bit
lc3g4d1t
lc3g4d1t_bit
lc3g4d2n
lc3g4d2n_bit
lc3g4d2t
lc3g4d2t_bit
lc3g4d3n
lc3g4d3n_bit
lc3g4d3t
lc3g4d3t_bit
lc3g4d4n
lc3g4d4n_bit
lc3g4d4t
lc3g4d4t_bit
lc3g4pol
lc3g4pol_bit
lc3intn
lc3intn_bit
lc3intp
lc3intp_bit
lc3mode0
lc3mode0_bit
lc3mode1
lc3mode1_bit
lc3mode2
lc3mode2_bit
lc3out
lc3out_bit
lc3pol
lc3pol_bit
lc4d1s0
lc4d1s0_bit
lc4d1s1
lc4d1s1_bit
lc4d1s2
lc4d1s2_bit
lc4d1s3
lc4d1s3_bit
lc4d1s4
lc4d1s4_bit
lc4d1s5
lc4d1s5_bit
lc4d1s6
lc4d1s6_bit
lc4d1s7
lc4d1s7_bit
lc4d2s0
lc4d2s0_bit
lc4d2s1
lc4d2s1_bit
lc4d2s2
lc4d2s2_bit
lc4d2s3
lc4d2s3_bit
lc4d2s4
lc4d2s4_bit
lc4d2s5
lc4d2s5_bit
lc4d2s6
lc4d2s6_bit
lc4d2s7
lc4d2s7_bit
lc4d3s0
lc4d3s0_bit
lc4d3s1
lc4d3s1_bit
lc4d3s2
lc4d3s2_bit
lc4d3s3
lc4d3s3_bit
lc4d3s4
lc4d3s4_bit
lc4d3s5
lc4d3s5_bit
lc4d3s6
lc4d3s6_bit
lc4d3s7
lc4d3s7_bit
lc4d4s0
lc4d4s0_bit
lc4d4s1
lc4d4s1_bit
lc4d4s2
lc4d4s2_bit
lc4d4s3
lc4d4s3_bit
lc4d4s4
lc4d4s4_bit
lc4d4s5
lc4d4s5_bit
lc4d4s6
lc4d4s6_bit
lc4d4s7
lc4d4s7_bit
lc4en
lc4en_bit
lc4g1d1n
lc4g1d1n_bit
lc4g1d1t
lc4g1d1t_bit
lc4g1d2n
lc4g1d2n_bit
lc4g1d2t
lc4g1d2t_bit
lc4g1d3n
lc4g1d3n_bit
lc4g1d3t
lc4g1d3t_bit
lc4g1d4n
lc4g1d4n_bit
lc4g1d4t
lc4g1d4t_bit
lc4g1pol
lc4g1pol_bit
lc4g2d1n
lc4g2d1n_bit
lc4g2d1t
lc4g2d1t_bit
lc4g2d2n
lc4g2d2n_bit
lc4g2d2t
lc4g2d2t_bit
lc4g2d3n
lc4g2d3n_bit
lc4g2d3t
lc4g2d3t_bit
lc4g2d4n
lc4g2d4n_bit
lc4g2d4t
lc4g2d4t_bit
lc4g2pol
lc4g2pol_bit
lc4g3d1n
lc4g3d1n_bit
lc4g3d1t
lc4g3d1t_bit
lc4g3d2n
lc4g3d2n_bit
lc4g3d2t
lc4g3d2t_bit
lc4g3d3n
lc4g3d3n_bit
lc4g3d3t
lc4g3d3t_bit
lc4g3d4n
lc4g3d4n_bit
lc4g3d4t
lc4g3d4t_bit
lc4g3pol
lc4g3pol_bit
lc4g4d1n
lc4g4d1n_bit
lc4g4d1t
lc4g4d1t_bit
lc4g4d2n
lc4g4d2n_bit
lc4g4d2t
lc4g4d2t_bit
lc4g4d3n
lc4g4d3n_bit
lc4g4d3t
lc4g4d3t_bit
lc4g4d4n
lc4g4d4n_bit
lc4g4d4t
lc4g4d4t_bit
lc4g4pol
lc4g4pol_bit
lc4intn
lc4intn_bit
lc4intp
lc4intp_bit
lc4mode0
lc4mode0_bit
lc4mode1
lc4mode1_bit
lc4mode2
lc4mode2_bit
lc4out
lc4out_bit
lc4pol
lc4pol_bit
lfoen
lfoen_bit
lfor
lfor_bit
longint2double
longinttohex
longinttostrwithzeros
longtostr
longword2double
longwordtohex
longwordtostr
longwordtostrwithzeros
ltrim
lwlo
lwlo_bit
main
max_for_start
mc1out
mc1out_bit
mc2out
mc2out_bit
mdbit
mdbit_bit
mdcarh
mdcarhpps
mdcarhpps0
mdcarhpps0_bit
mdcarhpps1
mdcarhpps1_bit
mdcarhpps2
mdcarhpps2_bit
mdcarhpps3
mdcarhpps3_bit
mdcarhpps4
mdcarhpps4_bit
mdcarl
mdcarlpps
mdcarlpps0
mdcarlpps0_bit
mdcarlpps1
mdcarlpps1_bit
mdcarlpps2
mdcarlpps2_bit
mdcarlpps3
mdcarlpps3_bit
mdcarlpps4
mdcarlpps4_bit
mdch0
mdch0_bit
mdch1
mdch1_bit
mdch2
mdch2_bit
mdch3
mdch3_bit
mdchpol
mdchpol_bit
mdchsync
mdchsync_bit
mdcl0
mdcl0_bit
mdcl1
mdcl1_bit
mdcl2
mdcl2_bit
mdcl3
mdcl3_bit
mdclpol
mdclpol_bit
mdclsync
mdclsync_bit
mdcon0
mdcon1
mden
mden_bit
mdms0
mdms0_bit
mdms1
mdms1_bit
mdms2
mdms2_bit
mdms3
mdms3_bit
mdms4
mdms4_bit
mdopol
mdopol_bit
mdout
mdout_bit
mdsrc
mdsrcpps
mdsrcpps0
mdsrcpps0_bit
mdsrcpps1
mdsrcpps1_bit
mdsrcpps2
mdsrcpps2_bit
mdsrcpps3
mdsrcpps3_bit
mdsrcpps4
mdsrcpps4_bit
mfoen
mfoen_bit
mfor
mfor_bit
min_for_start
mlc1out
mlc1out_bit
mlc2out
mlc2out_bit
mlc3out
mlc3out_bit
mlc4out
mlc4out_bit
msk01
msk01_bit
msk02
msk02_bit
msk11
msk11_bit
msk12
msk12_bit
msk21
msk21_bit
msk22
msk22_bit
msk31
msk31_bit
msk32
msk32_bit
msk41
msk41_bit
msk42
msk42_bit
msk51
msk51_bit
msk52
msk52_bit
msk61
msk61_bit
msk62
msk62_bit
msk71
msk71_bit
msk72
msk72_bit
mssp1md
mssp1md_bit
mssp2md
mssp2md_bit
mul_16x16_s
mul_16x16_u
mul_32x32_fp
mul_32x32_s
mul_32x32_u
mul_8x8_s
mul_8x8_u
mult_mask
mult_shift
n1cks0
n1cks0_bit
n1cks1
n1cks1_bit
n1cks2
n1cks2_bit
n1en
n1en_bit
n1out
n1out_bit
n1pfm
n1pfm_bit
n1pol
n1pol_bit
n1pws0
n1pws0_bit
n1pws1
n1pws1_bit
n1pws2
n1pws2_bit
naddress1
naddress1_bit
naddress2
naddress2_bit
nbor
nbor_bit
nco1acc0
nco1acc0_bit
nco1acc1
nco1acc1_bit
nco1acc10
nco1acc10_bit
nco1acc11
nco1acc11_bit
nco1acc12
nco1acc12_bit
nco1acc13
nco1acc13_bit
nco1acc14
nco1acc14_bit
nco1acc15
nco1acc15_bit
nco1acc16
nco1acc16_bit
nco1acc17
nco1acc17_bit
nco1acc18
nco1acc18_bit
nco1acc19
nco1acc19_bit
nco1acc2
nco1acc2_bit
nco1acc3
nco1acc3_bit
nco1acc4
nco1acc4_bit
nco1acc5
nco1acc5_bit
nco1acc6
nco1acc6_bit
nco1acc7
nco1acc7_bit
nco1acc8
nco1acc8_bit
nco1acc9
nco1acc9_bit
nco1acch
nco1accl
nco1accu
nco1clk
nco1con
nco1ie
nco1ie_bit
nco1if
nco1if_bit
nco1inc0
nco1inc0_bit
nco1inc1
nco1inc1_bit
nco1inc10
nco1inc10_bit
nco1inc11
nco1inc11_bit
nco1inc12
nco1inc12_bit
nco1inc13
nco1inc13_bit
nco1inc14
nco1inc14_bit
nco1inc15
nco1inc15_bit
nco1inc16
nco1inc16_bit
nco1inc17
nco1inc17_bit
nco1inc18
nco1inc18_bit
nco1inc19
nco1inc19_bit
nco1inc2
nco1inc2_bit
nco1inc3
nco1inc3_bit
nco1inc4
nco1inc4_bit
nco1inc5
nco1inc5_bit
nco1inc6
nco1inc6_bit
nco1inc7
nco1inc7_bit
nco1inc8
nco1inc8_bit
nco1inc9
nco1inc9_bit
nco1inch
nco1incl
nco1incu
nco1md
nco1md_bit
ncoie
ncoie_bit
ncoif
ncoif_bit
ncomd
ncomd_bit
ndiv0
ndiv0_bit
ndiv1
ndiv1_bit
ndiv2
ndiv2_bit
ndiv3
ndiv3_bit
ndone
ndone_bit
nosc0
nosc0_bit
nosc1
nosc1_bit
nosc2
nosc2_bit
noscr
noscr_bit
npd
npd_bit
npor
npor_bit
nri
nri_bit
nrm3232
nrm4032
nrmclr
nrmclr_bit
nrwdt
nrwdt_bit
nss
nss_bit
nt1sync
nt1sync_bit
nt3sync
nt3sync_bit
nt5sync
nt5sync_bit
nto
nto_bit
nvmadr0
nvmadr0_bit
nvmadr1
nvmadr1_bit
nvmadr10
nvmadr10_bit
nvmadr11
nvmadr11_bit
nvmadr12
nvmadr12_bit
nvmadr13
nvmadr13_bit
nvmadr14
nvmadr14_bit
nvmadr2
nvmadr2_bit
nvmadr3
nvmadr3_bit
nvmadr4
nvmadr4_bit
nvmadr5
nvmadr5_bit
nvmadr6
nvmadr6_bit
nvmadr7
nvmadr7_bit
nvmadr8
nvmadr8_bit
nvmadr9
nvmadr9_bit
nvmadrh
nvmadrl
nvmcon1
nvmcon2
nvmdat0
nvmdat0_bit
nvmdat1
nvmdat1_bit
nvmdat10
nvmdat10_bit
nvmdat11
nvmdat11_bit
nvmdat12
nvmdat12_bit
nvmdat13
nvmdat13_bit
nvmdat2
nvmdat2_bit
nvmdat3
nvmdat3_bit
nvmdat4
nvmdat4_bit
nvmdat5
nvmdat5_bit
nvmdat6
nvmdat6_bit
nvmdat7
nvmdat7_bit
nvmdat8
nvmdat8_bit
nvmdat9
nvmdat9_bit
nvmdath
nvmdatl
nvmie
nvmie_bit
nvmif
nvmif_bit
nvmmd
nvmmd_bit
nvmregs
nvmregs_bit
nwdtwv
nwdtwv_bit
nwrite1
nwrite1_bit
nwrite2
nwrite2_bit
odca0
odca0_bit
odca1
odca1_bit
odca2
odca2_bit
odca3
odca3_bit
odca4
odca4_bit
odca5
odca5_bit
odca6
odca6_bit
odca7
odca7_bit
odcb0
odcb0_bit
odcb1
odcb1_bit
odcb2
odcb2_bit
odcb3
odcb3_bit
odcb4
odcb4_bit
odcb5
odcb5_bit
odcb6
odcb6_bit
odcb7
odcb7_bit
odcc0
odcc0_bit
odcc1
odcc1_bit
odcc2
odcc2_bit
odcc3
odcc3_bit
odcc4
odcc4_bit
odcc5
odcc5_bit
odcc6
odcc6_bit
odcc7
odcc7_bit
odcd0
odcd0_bit
odcd1
odcd1_bit
odcd2
odcd2_bit
odcd3
odcd3_bit
odcd4
odcd4_bit
odcd5
odcd5_bit
odcd6
odcd6_bit
odcd7
odcd7_bit
odce0
odce0_bit
odce1
odce1_bit
odce2
odce2_bit
odcona
odconb
odconc
odcond
odcone
oe1
oe1_bit
oe2
oe2_bit
oerr
oerr_bit
off_cnt
off_time
oled_bat
oled_clear
oled_disp_off
oled_disp_on
oled_init
oled_pwd
oled_start
oled_voltage
oled_wr_str
oled_wr_str_s
ordy
ordy_bit
osccon1
osccon2
osccon3
oscen
oscfrq
oscstat
osctune
osfie
osfie_bit
osfif
osfif_bit
overflow
p1m0
p1m0_bit
p1m1
p1m1_bit
p2m0
p2m0_bit
p2m1
p2m1_bit
p3m0
p3m0_bit
p3m1
p3m1_bit
p4m0
p4m0_bit
p4m1
p4m1_bit
p5m0
p5m0_bit
p5m1
p5m1_bit
p6tsel0
p6tsel0_bit
p6tsel1
p6tsel1_bit
p7tsel0
p7tsel0_bit
p7tsel1
p7tsel1_bit
pcl
pclath
pclath_shad
pcon0
peie
peie_bit
pen1
pen1_bit
pen2
pen2_bit
pic_init
pie0
pie1
pie2
pie3
pie4
pie5
pie6
pie7
pie8
pir0
pir1
pir2
pir3
pir4
pir5
pir6
pir7
pir8
plen0
plen0_bit
plen1
plen1_bit
plen2
plen2_bit
plen3
plen3_bit
pllr
pllr_bit
pmd0
pmd1
pmd2
pmd3
pmd4
pmd5
port_to_tris_offset
porta
portb
portc
portd
porte
power_off
ppslock
ppslocked
ppslocked_bit
pr0
pr1
pr2
pr3
pr4
pr5
pr6
pscnt0
pscnt0_bit
pscnt1
pscnt1_bit
pscnt10
pscnt10_bit
pscnt11
pscnt11_bit
pscnt12
pscnt12_bit
pscnt13
pscnt13_bit
pscnt14
pscnt14_bit
pscnt15
pscnt15_bit
pscnt16
pscnt16_bit
pscnt17
pscnt17_bit
pscnt2
pscnt2_bit
pscnt3
pscnt3_bit
pscnt4
pscnt4_bit
pscnt5
pscnt5_bit
pscnt6
pscnt6_bit
pscnt7
pscnt7_bit
pscnt8
pscnt8_bit
pscnt9
pscnt9_bit
pss0
pss0_bit
pss1
pss1_bit
pwm6con
pwm6dc
pwm6dc0
pwm6dc0_bit
pwm6dc1
pwm6dc1_bit
pwm6dc2
pwm6dc2_bit
pwm6dc3
pwm6dc3_bit
pwm6dc4
pwm6dc4_bit
pwm6dc5
pwm6dc5_bit
pwm6dc6
pwm6dc6_bit
pwm6dc7
pwm6dc7_bit
pwm6dc8
pwm6dc8_bit
pwm6dc9
pwm6dc9_bit
pwm6dch
pwm6dcl
pwm6en
pwm6en_bit
pwm6md
pwm6md_bit
pwm6out
pwm6out_bit
pwm6pol
pwm6pol_bit
pwm7con
pwm7dc
pwm7dc0
pwm7dc0_bit
pwm7dc1
pwm7dc1_bit
pwm7dc2
pwm7dc2_bit
pwm7dc3
pwm7dc3_bit
pwm7dc4
pwm7dc4_bit
pwm7dc5
pwm7dc5_bit
pwm7dc6
pwm7dc6_bit
pwm7dc7
pwm7dc7_bit
pwm7dc8
pwm7dc8_bit
pwm7dc9
pwm7dc9_bit
pwm7dch
pwm7dcl
pwm7en
pwm7en_bit
pwm7md
pwm7md_bit
pwm7out
pwm7out_bit
pwm7pol
pwm7pol_bit
pwr
pwr_fixed_old
r_nw1
r_nw1_bit
r_nw2
r_nw2_bit
r_w1
r_w1_bit
r_w2
r_w2_bit
r0
r1
r10
r11
r12
r13
r14
r15
r2
r3
r4
r5
r6
r7
r8
r9
ra0
ra0_bit
ra0pps
ra0pps0
ra0pps0_bit
ra0pps1
ra0pps1_bit
ra0pps2
ra0pps2_bit
ra0pps3
ra0pps3_bit
ra0pps4
ra0pps4_bit
ra0pps5
ra0pps5_bit
ra1
ra1_bit
ra1pps
ra1pps0
ra1pps0_bit
ra1pps1
ra1pps1_bit
ra1pps2
ra1pps2_bit
ra1pps3
ra1pps3_bit
ra1pps4
ra1pps4_bit
ra1pps5
ra1pps5_bit
ra2
ra2_bit
ra2pps
ra2pps0
ra2pps0_bit
ra2pps1
ra2pps1_bit
ra2pps2
ra2pps2_bit
ra2pps3
ra2pps3_bit
ra2pps4
ra2pps4_bit
ra2pps5
ra2pps5_bit
ra3
ra3_bit
ra3pps
ra3pps0
ra3pps0_bit
ra3pps1
ra3pps1_bit
ra3pps2
ra3pps2_bit
ra3pps3
ra3pps3_bit
ra3pps4
ra3pps4_bit
ra3pps5
ra3pps5_bit
ra4
ra4_bit
ra4pps
ra4pps0
ra4pps0_bit
ra4pps1
ra4pps1_bit
ra4pps2
ra4pps2_bit
ra4pps3
ra4pps3_bit
ra4pps4
ra4pps4_bit
ra4pps5
ra4pps5_bit
ra5
ra5_bit
ra5pps
ra5pps0
ra5pps0_bit
ra5pps1
ra5pps1_bit
ra5pps2
ra5pps2_bit
ra5pps3
ra5pps3_bit
ra5pps4
ra5pps4_bit
ra5pps5
ra5pps5_bit
ra6
ra6_bit
ra6pps
ra6pps0
ra6pps0_bit
ra6pps1
ra6pps1_bit
ra6pps2
ra6pps2_bit
ra6pps3
ra6pps3_bit
ra6pps4
ra6pps4_bit
ra6pps5
ra6pps5_bit
ra7
ra7_bit
ra7pps
ra7pps0
ra7pps0_bit
ra7pps1
ra7pps1_bit
ra7pps2
ra7pps2_bit
ra7pps3
ra7pps3_bit
ra7pps4
ra7pps4_bit
ra7pps5
ra7pps5_bit
rb0
rb0_bit
rb0pps
rb0pps0
rb0pps0_bit
rb0pps1
rb0pps1_bit
rb0pps2
rb0pps2_bit
rb0pps3
rb0pps3_bit
rb0pps4
rb0pps4_bit
rb0pps5
rb0pps5_bit
rb1
rb1_bit
rb1pps
rb1pps0
rb1pps0_bit
rb1pps1
rb1pps1_bit
rb1pps2
rb1pps2_bit
rb1pps3
rb1pps3_bit
rb1pps4
rb1pps4_bit
rb1pps5
rb1pps5_bit
rb2
rb2_bit
rb2pps
rb2pps0
rb2pps0_bit
rb2pps1
rb2pps1_bit
rb2pps2
rb2pps2_bit
rb2pps3
rb2pps3_bit
rb2pps4
rb2pps4_bit
rb2pps5
rb2pps5_bit
rb3
rb3_bit
rb3pps
rb3pps0
rb3pps0_bit
rb3pps1
rb3pps1_bit
rb3pps2
rb3pps2_bit
rb3pps3
rb3pps3_bit
rb3pps4
rb3pps4_bit
rb3pps5
rb3pps5_bit
rb4
rb4_bit
rb4pps
rb4pps0
rb4pps0_bit
rb4pps1
rb4pps1_bit
rb4pps2
rb4pps2_bit
rb4pps3
rb4pps3_bit
rb4pps4
rb4pps4_bit
rb4pps5
rb4pps5_bit
rb5
rb5_bit
rb5pps
rb5pps0
rb5pps0_bit
rb5pps1
rb5pps1_bit
rb5pps2
rb5pps2_bit
rb5pps3
rb5pps3_bit
rb5pps4
rb5pps4_bit
rb5pps5
rb5pps5_bit
rb6
rb6_bit
rb6pps
rb6pps0
rb6pps0_bit
rb6pps1
rb6pps1_bit
rb6pps2
rb6pps2_bit
rb6pps3
rb6pps3_bit
rb6pps4
rb6pps4_bit
rb6pps5
rb6pps5_bit
rb7
rb7_bit
rb7pps
rb7pps0
rb7pps0_bit
rb7pps1
rb7pps1_bit
rb7pps2
rb7pps2_bit
rb7pps3
rb7pps3_bit
rb7pps4
rb7pps4_bit
rb7pps5
rb7pps5_bit
rc0
rc0_bit
rc0pps
rc0pps0
rc0pps0_bit
rc0pps1
rc0pps1_bit
rc0pps2
rc0pps2_bit
rc0pps3
rc0pps3_bit
rc0pps4
rc0pps4_bit
rc0pps5
rc0pps5_bit
rc1
rc1_bit
rc1pps
rc1pps0
rc1pps0_bit
rc1pps1
rc1pps1_bit
rc1pps2
rc1pps2_bit
rc1pps3
rc1pps3_bit
rc1pps4
rc1pps4_bit
rc1pps5
rc1pps5_bit
rc1reg
rc1sta
rc2
rc2_bit
rc2pps
rc2pps0
rc2pps0_bit
rc2pps1
rc2pps1_bit
rc2pps2
rc2pps2_bit
rc2pps3
rc2pps3_bit
rc2pps4
rc2pps4_bit
rc2pps5
rc2pps5_bit
rc3
rc3_bit
rc3pps
rc3pps0
rc3pps0_bit
rc3pps1
rc3pps1_bit
rc3pps2
rc3pps2_bit
rc3pps3
rc3pps3_bit
rc3pps4
rc3pps4_bit
rc3pps5
rc3pps5_bit
rc4
rc4_bit
rc4pps
rc4pps0
rc4pps0_bit
rc4pps1
rc4pps1_bit
rc4pps2
rc4pps2_bit
rc4pps3
rc4pps3_bit
rc4pps4
rc4pps4_bit
rc4pps5
rc4pps5_bit
rc5
rc5_bit
rc5pps
rc5pps0
rc5pps0_bit
rc5pps1
rc5pps1_bit
rc5pps2
rc5pps2_bit
rc5pps3
rc5pps3_bit
rc5pps4
rc5pps4_bit
rc5pps5
rc5pps5_bit
rc6
rc6_bit
rc6pps
rc6pps0
rc6pps0_bit
rc6pps1
rc6pps1_bit
rc6pps2
rc6pps2_bit
rc6pps3
rc6pps3_bit
rc6pps4
rc6pps4_bit
rc6pps5
rc6pps5_bit
rc7
rc7_bit
rc7pps
rc7pps0
rc7pps0_bit
rc7pps1
rc7pps1_bit
rc7pps2
rc7pps2_bit
rc7pps3
rc7pps3_bit
rc7pps4
rc7pps4_bit
rc7pps5
rc7pps5_bit
rcen1
rcen1_bit
rcen2
rcen2_bit
rcidl
rcidl_bit
rcie
rcie_bit
rcif
rcif_bit
rcreg
rcreg1
rcsta
rcsta1
rd
rd_bit
rd0
rd0_bit
rd0pps
rd0pps0
rd0pps0_bit
rd0pps1
rd0pps1_bit
rd0pps2
rd0pps2_bit
rd0pps3
rd0pps3_bit
rd0pps4
rd0pps4_bit
rd0pps5
rd0pps5_bit
rd1
rd1_bit
rd161
rd161_bit
rd163
rd163_bit
rd165
rd165_bit
rd1pps
rd1pps0
rd1pps0_bit
rd1pps1
rd1pps1_bit
rd1pps2
rd1pps2_bit
rd1pps3
rd1pps3_bit
rd1pps4
rd1pps4_bit
rd1pps5
rd1pps5_bit
rd2
rd2_bit
rd2pps
rd2pps0
rd2pps0_bit
rd2pps1
rd2pps1_bit
rd2pps2
rd2pps2_bit
rd2pps3
rd2pps3_bit
rd2pps4
rd2pps4_bit
rd2pps5
rd2pps5_bit
rd3
rd3_bit
rd3pps
rd3pps0
rd3pps0_bit
rd3pps1
rd3pps1_bit
rd3pps2
rd3pps2_bit
rd3pps3
rd3pps3_bit
rd3pps4
rd3pps4_bit
rd3pps5
rd3pps5_bit
rd4
rd4_bit
rd4pps
rd4pps0
rd4pps0_bit
rd4pps1
rd4pps1_bit
rd4pps2
rd4pps2_bit
rd4pps3
rd4pps3_bit
rd4pps4
rd4pps4_bit
rd4pps5
rd4pps5_bit
rd5
rd5_bit
rd5pps
rd5pps0
rd5pps0_bit
rd5pps1
rd5pps1_bit
rd5pps2
rd5pps2_bit
rd5pps3
rd5pps3_bit
rd5pps4
rd5pps4_bit
rd5pps5
rd5pps5_bit
rd6
rd6_bit
rd6pps
rd6pps0
rd6pps0_bit
rd6pps1
rd6pps1_bit
rd6pps2
rd6pps2_bit
rd6pps3
rd6pps3_bit
rd6pps4
rd6pps4_bit
rd6pps5
rd6pps5_bit
rd7
rd7_bit
rd7pps
rd7pps0
rd7pps0_bit
rd7pps1
rd7pps1_bit
rd7pps2
rd7pps2_bit
rd7pps3
rd7pps3_bit
rd7pps4
rd7pps4_bit
rd7pps5
rd7pps5_bit
re0
re0_bit
re0pps
re0pps0
re0pps0_bit
re0pps1
re0pps1_bit
re0pps2
re0pps2_bit
re0pps3
re0pps3_bit
re0pps4
re0pps4_bit
re0pps5
re0pps5_bit
re1
re1_bit
re1pps
re1pps0
re1pps0_bit
re1pps1
re1pps1_bit
re1pps2
re1pps2_bit
re1pps3
re1pps3_bit
re1pps4
re1pps4_bit
re1pps5
re1pps5_bit
re2
re2_bit
re2pps
re2pps0
re2pps0_bit
re2pps1
re2pps1_bit
re2pps2
re2pps2_bit
re2pps3
re2pps3_bit
re2pps4
re2pps4_bit
re2pps5
re2pps5_bit
re3
re3_bit
read_write1
read_write1_bit
read_write2
read_write2_bit
red
rel_del
rel_to_gnd
rel_to_plus_n
relay_set
res032
roi
roi_bit
rsen1
rsen1_bit
rsen2
rsen2_bit
rtrim
rw1
rw1_bit
rw2
rw2_bit
rx9
rx9_bit
rx9d
rx9d_bit
rxpps
rxpps0
rxpps0_bit
rxpps1
rxpps1_bit
rxpps2
rxpps2_bit
rxpps3
rxpps3_bit
rxpps4
rxpps4_bit
sboren
sboren_bit
scanbusy
scanbusy_bit
scancon0
scanen
scanen_bit
scango
scango_bit
scanhadr0
scanhadr0_bit
scanhadr1
scanhadr1_bit
scanhadr10
scanhadr10_bit
scanhadr11
scanhadr11_bit
scanhadr12
scanhadr12_bit
scanhadr13
scanhadr13_bit
scanhadr14
scanhadr14_bit
scanhadr15
scanhadr15_bit
scanhadr2
scanhadr2_bit
scanhadr3
scanhadr3_bit
scanhadr4
scanhadr4_bit
scanhadr5
scanhadr5_bit
scanhadr6
scanhadr6_bit
scanhadr7
scanhadr7_bit
scanhadr8
scanhadr8_bit
scanhadr9
scanhadr9_bit
scanhadrh
scanhadrl
scanie
scanie_bit
scanif
scanif_bit
scanintm
scanintm_bit
scaninvalid
scaninvalid_bit
scanladr0
scanladr0_bit
scanladr1
scanladr1_bit
scanladr10
scanladr10_bit
scanladr11
scanladr11_bit
scanladr12
scanladr12_bit
scanladr13
scanladr13_bit
scanladr14
scanladr14_bit
scanladr15
scanladr15_bit
scanladr2
scanladr2_bit
scanladr3
scanladr3_bit
scanladr4
scanladr4_bit
scanladr5
scanladr5_bit
scanladr6
scanladr6_bit
scanladr7
scanladr7_bit
scanladr8
scanladr8_bit
scanladr9
scanladr9_bit
scanladrh
scanladrl
scanmd
scanmd_bit
scanmode0
scanmode0_bit
scanmode1
scanmode1_bit
scantrig
scantsel0
scantsel0_bit
scantsel1
scantsel1_bit
scantsel2
scantsel2_bit
scantsel3
scantsel3_bit
sckp
sckp_bit
sdiv3232l
sen1
sen1_bit
sen2
sen2_bit
send_command
sendb
sendb_bit
set_addressing
setfdz32
setfov32
setfun32
setiov3224
sharp_cap
sharp_ind
shft0
shft0_bit
shft1
shft1_bit
shft10
shft10_bit
shft11
shft11_bit
shft12
shft12_bit
shft13
shft13_bit
shft14
shft14_bit
shft15
shft15_bit
shft2
shft2_bit
shft3
shft3_bit
shft4
shft4_bit
shft5
shft5_bit
shft6
shft6_bit
shft7
shft7_bit
shft8
shft8_bit
shft9
shft9_bit
shiftm
shiftm_bit
shorttohex
shorttostr
shorttostrwithzeros
slra0
slra0_bit
slra1
slra1_bit
slra2
slra2_bit
slra3
slra3_bit
slra4
slra4_bit
slra5
slra5_bit
slra6
slra6_bit
slra7
slra7_bit
slrb0
slrb0_bit
slrb1
slrb1_bit
slrb2
slrb2_bit
slrb3
slrb3_bit
slrb4
slrb4_bit
slrb5
slrb5_bit
slrb6
slrb6_bit
slrb7
slrb7_bit
slrc0
slrc0_bit
slrc1
slrc1_bit
slrc2
slrc2_bit
slrc3
slrc3_bit
slrc4
slrc4_bit
slrc5
slrc5_bit
slrc6
slrc6_bit
slrc7
slrc7_bit
slrcona
slrconb
slrconc
slrcond
slrcone
slrd0
slrd0_bit
slrd1
slrd1_bit
slrd2
slrd2_bit
slrd3
slrd3_bit
slrd4
slrd4_bit
slrd5
slrd5_bit
slrd6
slrd6_bit
slrd7
slrd7_bit
slre0
slre0_bit
slre1
slre1_bit
slre2
slre2_bit
smp1
smp1_bit
smp2
smp2_bit
smt1as
smt1as_bit
smt1clk
smt1con0
smt1con1
smt1cpol
smt1cpol_bit
smt1cpr0
smt1cpr0_bit
smt1cpr1
smt1cpr1_bit
smt1cpr10
smt1cpr10_bit
smt1cpr11
smt1cpr11_bit
smt1cpr12
smt1cpr12_bit
smt1cpr13
smt1cpr13_bit
smt1cpr14
smt1cpr14_bit
smt1cpr15
smt1cpr15_bit
smt1cpr16
smt1cpr16_bit
smt1cpr17
smt1cpr17_bit
smt1cpr18
smt1cpr18_bit
smt1cpr19
smt1cpr19_bit
smt1cpr2
smt1cpr2_bit
smt1cpr20
smt1cpr20_bit
smt1cpr21
smt1cpr21_bit
smt1cpr22
smt1cpr22_bit
smt1cpr23
smt1cpr23_bit
smt1cpr3
smt1cpr3_bit
smt1cpr4
smt1cpr4_bit
smt1cpr5
smt1cpr5_bit
smt1cpr6
smt1cpr6_bit
smt1cpr7
smt1cpr7_bit
smt1cpr8
smt1cpr8_bit
smt1cpr9
smt1cpr9_bit
smt1cprh
smt1cprl
smt1cpru
smt1cprup
smt1cprup_bit
smt1cpw0
smt1cpw0_bit
smt1cpw1
smt1cpw1_bit
smt1cpw10
smt1cpw10_bit
smt1cpw11
smt1cpw11_bit
smt1cpw12
smt1cpw12_bit
smt1cpw13
smt1cpw13_bit
smt1cpw14
smt1cpw14_bit
smt1cpw15
smt1cpw15_bit
smt1cpw16
smt1cpw16_bit
smt1cpw17
smt1cpw17_bit
smt1cpw18
smt1cpw18_bit
smt1cpw19
smt1cpw19_bit
smt1cpw2
smt1cpw2_bit
smt1cpw20
smt1cpw20_bit
smt1cpw21
smt1cpw21_bit
smt1cpw22
smt1cpw22_bit
smt1cpw23
smt1cpw23_bit
smt1cpw3
smt1cpw3_bit
smt1cpw4
smt1cpw4_bit
smt1cpw5
smt1cpw5_bit
smt1cpw6
smt1cpw6_bit
smt1cpw7
smt1cpw7_bit
smt1cpw8
smt1cpw8_bit
smt1cpw9
smt1cpw9_bit
smt1cpwh
smt1cpwl
smt1cpwu
smt1cpwup
smt1cpwup_bit
smt1csel0
smt1csel0_bit
smt1csel1
smt1csel1_bit
smt1csel2
smt1csel2_bit
smt1en
smt1en_bit
smt1go
smt1go_bit
smt1ie
smt1ie_bit
smt1if
smt1if_bit
smt1md
smt1md_bit
smt1pr0
smt1pr0_bit
smt1pr1
smt1pr1_bit
smt1pr10
smt1pr10_bit
smt1pr11
smt1pr11_bit
smt1pr12
smt1pr12_bit
smt1pr13
smt1pr13_bit
smt1pr14
smt1pr14_bit
smt1pr15
smt1pr15_bit
smt1pr16
smt1pr16_bit
smt1pr17
smt1pr17_bit
smt1pr18
smt1pr18_bit
smt1pr19
smt1pr19_bit
smt1pr2
smt1pr2_bit
smt1pr20
smt1pr20_bit
smt1pr21
smt1pr21_bit
smt1pr22
smt1pr22_bit
smt1pr23
smt1pr23_bit
smt1pr3
smt1pr3_bit
smt1pr4
smt1pr4_bit
smt1pr5
smt1pr5_bit
smt1pr6
smt1pr6_bit
smt1pr7
smt1pr7_bit
smt1pr8
smt1pr8_bit
smt1pr9
smt1pr9_bit
smt1praie
smt1praie_bit
smt1praif
smt1praif_bit
smt1prh
smt1prl
smt1pru
smt1ps0
smt1ps0_bit
smt1ps1
smt1ps1_bit
smt1pwaie
smt1pwaie_bit
smt1pwaif
smt1pwaif_bit
smt1repeat
smt1repeat_bit
smt1reset
smt1reset_bit
smt1rst
smt1rst_bit
smt1sig
smt1sigpps
smt1spol
smt1spol_bit
smt1ssel0
smt1ssel0_bit
smt1ssel1
smt1ssel1_bit
smt1ssel2
smt1ssel2_bit
smt1ssel3
smt1ssel3_bit
smt1ssel4
smt1ssel4_bit
smt1stat
smt1stp
smt1stp_bit
smt1tmr0
smt1tmr0_bit
smt1tmr1
smt1tmr1_bit
smt1tmr10
smt1tmr10_bit
smt1tmr11
smt1tmr11_bit
smt1tmr12
smt1tmr12_bit
smt1tmr13
smt1tmr13_bit
smt1tmr14
smt1tmr14_bit
smt1tmr15
smt1tmr15_bit
smt1tmr16
smt1tmr16_bit
smt1tmr17
smt1tmr17_bit
smt1tmr18
smt1tmr18_bit
smt1tmr19
smt1tmr19_bit
smt1tmr2
smt1tmr2_bit
smt1tmr20
smt1tmr20_bit
smt1tmr21
smt1tmr21_bit
smt1tmr22
smt1tmr22_bit
smt1tmr23
smt1tmr23_bit
smt1tmr3
smt1tmr3_bit
smt1tmr4
smt1tmr4_bit
smt1tmr5
smt1tmr5_bit
smt1tmr6
smt1tmr6_bit
smt1tmr7
smt1tmr7_bit
smt1tmr8
smt1tmr8_bit
smt1tmr9
smt1tmr9_bit
smt1tmrh
smt1tmrl
smt1tmru
smt1ts
smt1ts_bit
smt1win
smt1winpps
smt1wol
smt1wol_bit
smt1ws
smt1ws_bit
smt1wsel0
smt1wsel0_bit
smt1wsel1
smt1wsel1_bit
smt1wsel2
smt1wsel2_bit
smt1wsel3
smt1wsel3_bit
smt1wsel4
smt1wsel4_bit
smt2as
smt2as_bit
smt2clk
smt2con0
smt2con1
smt2cpol
smt2cpol_bit
smt2cpr0
smt2cpr0_bit
smt2cpr1
smt2cpr1_bit
smt2cpr10
smt2cpr10_bit
smt2cpr11
smt2cpr11_bit
smt2cpr12
smt2cpr12_bit
smt2cpr13
smt2cpr13_bit
smt2cpr14
smt2cpr14_bit
smt2cpr15
smt2cpr15_bit
smt2cpr16
smt2cpr16_bit
smt2cpr17
smt2cpr17_bit
smt2cpr18
smt2cpr18_bit
smt2cpr19
smt2cpr19_bit
smt2cpr2
smt2cpr2_bit
smt2cpr20
smt2cpr20_bit
smt2cpr21
smt2cpr21_bit
smt2cpr22
smt2cpr22_bit
smt2cpr23
smt2cpr23_bit
smt2cpr3
smt2cpr3_bit
smt2cpr4
smt2cpr4_bit
smt2cpr5
smt2cpr5_bit
smt2cpr6
smt2cpr6_bit
smt2cpr7
smt2cpr7_bit
smt2cpr8
smt2cpr8_bit
smt2cpr9
smt2cpr9_bit
smt2cprh
smt2cprl
smt2cpru
smt2cprup
smt2cprup_bit
smt2cpw0
smt2cpw0_bit
smt2cpw1
smt2cpw1_bit
smt2cpw10
smt2cpw10_bit
smt2cpw11
smt2cpw11_bit
smt2cpw12
smt2cpw12_bit
smt2cpw13
smt2cpw13_bit
smt2cpw14
smt2cpw14_bit
smt2cpw15
smt2cpw15_bit
smt2cpw16
smt2cpw16_bit
smt2cpw17
smt2cpw17_bit
smt2cpw18
smt2cpw18_bit
smt2cpw19
smt2cpw19_bit
smt2cpw2
smt2cpw2_bit
smt2cpw20
smt2cpw20_bit
smt2cpw21
smt2cpw21_bit
smt2cpw22
smt2cpw22_bit
smt2cpw23
smt2cpw23_bit
smt2cpw3
smt2cpw3_bit
smt2cpw4
smt2cpw4_bit
smt2cpw5
smt2cpw5_bit
smt2cpw6
smt2cpw6_bit
smt2cpw7
smt2cpw7_bit
smt2cpw8
smt2cpw8_bit
smt2cpw9
smt2cpw9_bit
smt2cpwh
smt2cpwl
smt2cpwu
smt2cpwup
smt2cpwup_bit
smt2csel0
smt2csel0_bit
smt2csel1
smt2csel1_bit
smt2csel2
smt2csel2_bit
smt2en
smt2en_bit
smt2go
smt2go_bit
smt2ie
smt2ie_bit
smt2if
smt2if_bit
smt2md
smt2md_bit
smt2pr0
smt2pr0_bit
smt2pr1
smt2pr1_bit
smt2pr10
smt2pr10_bit
smt2pr11
smt2pr11_bit
smt2pr12
smt2pr12_bit
smt2pr13
smt2pr13_bit
smt2pr14
smt2pr14_bit
smt2pr15
smt2pr15_bit
smt2pr16
smt2pr16_bit
smt2pr17
smt2pr17_bit
smt2pr18
smt2pr18_bit
smt2pr19
smt2pr19_bit
smt2pr2
smt2pr2_bit
smt2pr20
smt2pr20_bit
smt2pr21
smt2pr21_bit
smt2pr22
smt2pr22_bit
smt2pr23
smt2pr23_bit
smt2pr3
smt2pr3_bit
smt2pr4
smt2pr4_bit
smt2pr5
smt2pr5_bit
smt2pr6
smt2pr6_bit
smt2pr7
smt2pr7_bit
smt2pr8
smt2pr8_bit
smt2pr9
smt2pr9_bit
smt2praie
smt2praie_bit
smt2praif
smt2praif_bit
smt2prh
smt2prl
smt2pru
smt2ps0
smt2ps0_bit
smt2ps1
smt2ps1_bit
smt2pwaie
smt2pwaie_bit
smt2pwaif
smt2pwaif_bit
smt2repeat
smt2repeat_bit
smt2reset
smt2reset_bit
smt2rst
smt2rst_bit
smt2sig
smt2sigpps
smt2spol
smt2spol_bit
smt2ssel0
smt2ssel0_bit
smt2ssel1
smt2ssel1_bit
smt2ssel2
smt2ssel2_bit
smt2ssel3
smt2ssel3_bit
smt2ssel4
smt2ssel4_bit
smt2stat
smt2stp
smt2stp_bit
smt2tmr0
smt2tmr0_bit
smt2tmr1
smt2tmr1_bit
smt2tmr10
smt2tmr10_bit
smt2tmr11
smt2tmr11_bit
smt2tmr12
smt2tmr12_bit
smt2tmr13
smt2tmr13_bit
smt2tmr14
smt2tmr14_bit
smt2tmr15
smt2tmr15_bit
smt2tmr16
smt2tmr16_bit
smt2tmr17
smt2tmr17_bit
smt2tmr18
smt2tmr18_bit
smt2tmr19
smt2tmr19_bit
smt2tmr2
smt2tmr2_bit
smt2tmr20
smt2tmr20_bit
smt2tmr21
smt2tmr21_bit
smt2tmr22
smt2tmr22_bit
smt2tmr23
smt2tmr23_bit
smt2tmr3
smt2tmr3_bit
smt2tmr4
smt2tmr4_bit
smt2tmr5
smt2tmr5_bit
smt2tmr6
smt2tmr6_bit
smt2tmr7
smt2tmr7_bit
smt2tmr8
smt2tmr8_bit
smt2tmr9
smt2tmr9_bit
smt2tmrh
smt2tmrl
smt2tmru
smt2ts
smt2ts_bit
smt2win
smt2winpps
smt2wol
smt2wol_bit
smt2ws
smt2ws_bit
smt2wsel0
smt2wsel0_bit
smt2wsel1
smt2wsel1_bit
smt2wsel2
smt2wsel2_bit
smt2wsel3
smt2wsel3_bit
smt2wsel4
smt2wsel4_bit
smu1sigpps0
smu1sigpps0_bit
smu1sigpps1
smu1sigpps1_bit
smu1sigpps2
smu1sigpps2_bit
smu1sigpps3
smu1sigpps3_bit
smu1sigpps4
smu1sigpps4_bit
smu1winpps0
smu1winpps0_bit
smu1winpps1
smu1winpps1_bit
smu1winpps2
smu1winpps2_bit
smu1winpps3
smu1winpps3_bit
smu1winpps4
smu1winpps4_bit
smu2sigpps0
smu2sigpps0_bit
smu2sigpps1
smu2sigpps1_bit
smu2sigpps2
smu2sigpps2_bit
smu2sigpps3
smu2sigpps3_bit
smu2sigpps4
smu2sigpps4_bit
smu2winpps0
smu2winpps0_bit
smu2winpps1
smu2winpps1_bit
smu2winpps2
smu2winpps2_bit
smu2winpps3
smu2winpps3_bit
smu2winpps4
smu2winpps4_bit
soft_i2c_ack
soft_i2c_init
soft_i2c_nack
soft_i2c_read
soft_i2c_start
soft_i2c_stop
soft_i2c_write
sor
sor_bit
soscen
soscen_bit
soscpwr
soscpwr_bit
sp1brg
sp1brgh
sp1brgl
spbrg
spbrg1
spbrgh
spbrgh1
spbrgl
spen
spen_bit
sren
sren_bit
ssp1add
ssp1buf
ssp1clkpps
ssp1clkpps0
ssp1clkpps0_bit
ssp1clkpps1
ssp1clkpps1_bit
ssp1clkpps2
ssp1clkpps2_bit
ssp1clkpps3
ssp1clkpps3_bit
ssp1clkpps4
ssp1clkpps4_bit
ssp1con1
ssp1con2
ssp1con3
ssp1datpps
ssp1datpps0
ssp1datpps0_bit
ssp1datpps1
ssp1datpps1_bit
ssp1datpps2
ssp1datpps2_bit
ssp1datpps3
ssp1datpps3_bit
ssp1datpps4
ssp1datpps4_bit
ssp1ie
ssp1ie_bit
ssp1if
ssp1if_bit
ssp1msk
ssp1sspps
ssp1sspps0
ssp1sspps0_bit
ssp1sspps1
ssp1sspps1_bit
ssp1sspps2
ssp1sspps2_bit
ssp1sspps3
ssp1sspps3_bit
ssp1sspps4
ssp1sspps4_bit
ssp1stat
ssp2add
ssp2buf
ssp2clkpps
ssp2clkpps0
ssp2clkpps0_bit
ssp2clkpps1
ssp2clkpps1_bit
ssp2clkpps2
ssp2clkpps2_bit
ssp2clkpps3
ssp2clkpps3_bit
ssp2clkpps4
ssp2clkpps4_bit
ssp2con1
ssp2con2
ssp2con3
ssp2datpps
ssp2datpps0
ssp2datpps0_bit
ssp2datpps1
ssp2datpps1_bit
ssp2datpps2
ssp2datpps2_bit
ssp2datpps3
ssp2datpps3_bit
ssp2datpps4
ssp2datpps4_bit
ssp2ie
ssp2ie_bit
ssp2if
ssp2if_bit
ssp2msk
ssp2sspps
ssp2sspps0
ssp2sspps0_bit
ssp2sspps1
ssp2sspps1_bit
ssp2sspps2
ssp2sspps2_bit
ssp2sspps3
ssp2sspps3_bit
ssp2sspps4
ssp2sspps4_bit
ssp2stat
sspen1
sspen1_bit
sspen2
sspen2_bit
sspm01
sspm01_bit
sspm02
sspm02_bit
sspm11
sspm11_bit
sspm12
sspm12_bit
sspm21
sspm21_bit
sspm22
sspm22_bit
sspm31
sspm31_bit
sspm32
sspm32_bit
sspov1
sspov1_bit
sspov2
sspov2_bit
start1
start1_bit
start2
start2_bit
state
state_bit
status
status_shad
step_cap
step_ind
stkovf
stkovf_bit
stkptr
stkunf
stkunf_bit
stop1
stop1_bit
stop2
stop2_bit
strtobyte
strtofloat
strtoint
strtolong
strtolongint
strtoshort
strtoword
sub_32x32_fp
sw
swap
swdten
swdten_bit
swr
swr_fixed_old
syscmd
syscmd_bit
t016bit
t016bit_bit
t0async
t0async_bit
t0ckipps
t0ckipps0
t0ckipps0_bit
t0ckipps1
t0ckipps1_bit
t0ckipps2
t0ckipps2_bit
t0ckipps3
t0ckipps3_bit
t0ckps0
t0ckps0_bit
t0ckps1
t0ckps1_bit
t0ckps2
t0ckps2_bit
t0ckps3
t0ckps3_bit
t0con0
t0con1
t0cs0
t0cs0_bit
t0cs1
t0cs1_bit
t0cs2
t0cs2_bit
t0en
t0en_bit
t0out
t0out_bit
t0outps0
t0outps0_bit
t0outps1
t0outps1_bit
t0outps2
t0outps2_bit
t0outps3
t0outps3_bit
t0pr0
t0pr0_bit
t0pr1
t0pr1_bit
t0pr2
t0pr2_bit
t0pr3
t0pr3_bit
t0pr4
t0pr4_bit
t0pr5
t0pr5_bit
t0pr6
t0pr6_bit
t0pr7
t0pr7_bit
t0ps0
t0ps0_bit
t0ps1
t0ps1_bit
t0ps2
t0ps2_bit
t0ps3
t0ps3_bit
t1ckipps
t1ckipps0
t1ckipps0_bit
t1ckipps1
t1ckipps1_bit
t1ckipps2
t1ckipps2_bit
t1ckipps3
t1ckipps3_bit
t1ckipps4
t1ckipps4_bit
t1ckps0
t1ckps0_bit
t1ckps1
t1ckps1_bit
t1clk
t1con
t1cs0
t1cs0_bit
t1cs1
t1cs1_bit
t1cs2
t1cs2_bit
t1cs3
t1cs3_bit
t1gate
t1gcon
t1ge
t1ge_bit
t1ggo
t1ggo_bit
t1ggo_ndone
t1ggo_ndone_bit
t1gpol
t1gpol_bit
t1gpps
t1gpps0
t1gpps0_bit
t1gpps1
t1gpps1_bit
t1gpps2
t1gpps2_bit
t1gpps3
t1gpps3_bit
t1gpps4
t1gpps4_bit
t1gspm
t1gspm_bit
t1gss0
t1gss0_bit
t1gss1
t1gss1_bit
t1gss2
t1gss2_bit
t1gss3
t1gss3_bit
t1gss4
t1gss4_bit
t1gtm
t1gtm_bit
t1gval
t1gval_bit
t1rd16
t1rd16_bit
t2ainpps
t2ainpps0
t2ainpps0_bit
t2ainpps1
t2ainpps1_bit
t2ainpps2
t2ainpps2_bit
t2ainpps3
t2ainpps3_bit
t2ainpps4
t2ainpps4_bit
t2ckpol
t2ckpol_bit
t2ckps0
t2ckps0_bit
t2ckps1
t2ckps1_bit
t2ckps2
t2ckps2_bit
t2cksync
t2cksync_bit
t2clk
t2clkcon
t2con
t2cs0
t2cs0_bit
t2cs1
t2cs1_bit
t2cs2
t2cs2_bit
t2cs3
t2cs3_bit
t2hlt
t2mode0
t2mode0_bit
t2mode1
t2mode1_bit
t2mode2
t2mode2_bit
t2mode3
t2mode3_bit
t2mode4
t2mode4_bit
t2on
t2on_bit
t2outps0
t2outps0_bit
t2outps1
t2outps1_bit
t2outps2
t2outps2_bit
t2outps3
t2outps3_bit
t2pr
t2psync
t2psync_bit
t2rsel0
t2rsel0_bit
t2rsel1
t2rsel1_bit
t2rsel2
t2rsel2_bit
t2rsel3
t2rsel3_bit
t2rsel4
t2rsel4_bit
t2rst
t2tmr
t3ckipps
t3ckipps0
t3ckipps0_bit
t3ckipps1
t3ckipps1_bit
t3ckipps2
t3ckipps2_bit
t3ckipps3
t3ckipps3_bit
t3ckipps4
t3ckipps4_bit
t3ckps0
t3ckps0_bit
t3ckps1
t3ckps1_bit
t3clk
t3con
t3cs0
t3cs0_bit
t3cs1
t3cs1_bit
t3cs2
t3cs2_bit
t3cs3
t3cs3_bit
t3gate
t3gcon
t3ge
t3ge_bit
t3ggo
t3ggo_bit
t3ggo_ndone
t3ggo_ndone_bit
t3gpol
t3gpol_bit
t3gpps
t3gpps0
t3gpps0_bit
t3gpps1
t3gpps1_bit
t3gpps2
t3gpps2_bit
t3gpps3
t3gpps3_bit
t3gpps4
t3gpps4_bit
t3gspm
t3gspm_bit
t3gss0
t3gss0_bit
t3gss1
t3gss1_bit
t3gss2
t3gss2_bit
t3gss3
t3gss3_bit
t3gss4
t3gss4_bit
t3gtm
t3gtm_bit
t3gval
t3gval_bit
t3rd16
t3rd16_bit
t4ainpps
t4ainpps0
t4ainpps0_bit
t4ainpps1
t4ainpps1_bit
t4ainpps2
t4ainpps2_bit
t4ainpps3
t4ainpps3_bit
t4ainpps4
t4ainpps4_bit
t4ckpol
t4ckpol_bit
t4ckps0
t4ckps0_bit
t4ckps1
t4ckps1_bit
t4ckps2
t4ckps2_bit
t4cksync
t4cksync_bit
t4clk
t4clkcon
t4con
t4cs0
t4cs0_bit
t4cs1
t4cs1_bit
t4cs2
t4cs2_bit
t4cs3
t4cs3_bit
t4hlt
t4mode0
t4mode0_bit
t4mode1
t4mode1_bit
t4mode2
t4mode2_bit
t4mode3
t4mode3_bit
t4mode4
t4mode4_bit
t4on
t4on_bit
t4outps0
t4outps0_bit
t4outps1
t4outps1_bit
t4outps2
t4outps2_bit
t4outps3
t4outps3_bit
t4pr
t4psync
t4psync_bit
t4rsel0
t4rsel0_bit
t4rsel1
t4rsel1_bit
t4rsel2
t4rsel2_bit
t4rsel3
t4rsel3_bit
t4rsel4
t4rsel4_bit
t4rst
t4tmr
t5ckipps
t5ckipps0
t5ckipps0_bit
t5ckipps1
t5ckipps1_bit
t5ckipps2
t5ckipps2_bit
t5ckipps3
t5ckipps3_bit
t5ckipps4
t5ckipps4_bit
t5ckps0
t5ckps0_bit
t5ckps1
t5ckps1_bit
t5clk
t5con
t5cs0
t5cs0_bit
t5cs1
t5cs1_bit
t5cs2
t5cs2_bit
t5cs3
t5cs3_bit
t5gate
t5gcon
t5ge
t5ge_bit
t5ggo
t5ggo_bit
t5ggo_ndone
t5ggo_ndone_bit
t5gpol
t5gpol_bit
t5gpps
t5gpps0
t5gpps0_bit
t5gpps1
t5gpps1_bit
t5gpps2
t5gpps2_bit
t5gpps3
t5gpps3_bit
t5gpps4
t5gpps4_bit
t5gspm
t5gspm_bit
t5gss0
t5gss0_bit
t5gss1
t5gss1_bit
t5gss2
t5gss2_bit
t5gss3
t5gss3_bit
t5gss4
t5gss4_bit
t5gtm
t5gtm_bit
t5gval
t5gval_bit
t5rd16
t5rd16_bit
t6ainpps
t6ainpps0
t6ainpps0_bit
t6ainpps1
t6ainpps1_bit
t6ainpps2
t6ainpps2_bit
t6ainpps3
t6ainpps3_bit
t6ainpps4
t6ainpps4_bit
t6ckpol
t6ckpol_bit
t6ckps0
t6ckps0_bit
t6ckps1
t6ckps1_bit
t6ckps2
t6ckps2_bit
t6cksync
t6cksync_bit
t6clk
t6clkcon
t6con
t6cs0
t6cs0_bit
t6cs1
t6cs1_bit
t6cs2
t6cs2_bit
t6cs3
t6cs3_bit
t6hlt
t6mode0
t6mode0_bit
t6mode1
t6mode1_bit
t6mode2
t6mode2_bit
t6mode3
t6mode3_bit
t6mode4
t6mode4_bit
t6on
t6on_bit
t6outps0
t6outps0_bit
t6outps1
t6outps1_bit
t6outps2
t6outps2_bit
t6outps3
t6outps3_bit
t6pr
t6psync
t6psync_bit
t6rsel0
t6rsel0_bit
t6rsel1
t6rsel1_bit
t6rsel2
t6rsel2_bit
t6rsel3
t6rsel3_bit
t6rsel4
t6rsel4_bit
t6rst
t6tmr
tick
tmr0
tmr0h
tmr0h0
tmr0h0_bit
tmr0h1
tmr0h1_bit
tmr0h2
tmr0h2_bit
tmr0h3
tmr0h3_bit
tmr0h4
tmr0h4_bit
tmr0h5
tmr0h5_bit
tmr0h6
tmr0h6_bit
tmr0h7
tmr0h7_bit
tmr0ie
tmr0ie_bit
tmr0if
tmr0if_bit
tmr0l
tmr0l0
tmr0l0_bit
tmr0l1
tmr0l1_bit
tmr0l2
tmr0l2_bit
tmr0l3
tmr0l3_bit
tmr0l4
tmr0l4_bit
tmr0l5
tmr0l5_bit
tmr0l6
tmr0l6_bit
tmr0l7
tmr0l7_bit
tmr0md
tmr0md_bit
tmr1
tmr110
tmr110_bit
tmr111
tmr111_bit
tmr112
tmr112_bit
tmr113
tmr113_bit
tmr114
tmr114_bit
tmr115
tmr115_bit
tmr1clk
tmr1gate
tmr1gie
tmr1gie_bit
tmr1gif
tmr1gif_bit
tmr1h
tmr1h0
tmr1h0_bit
tmr1h1
tmr1h1_bit
tmr1h2
tmr1h2_bit
tmr1h3
tmr1h3_bit
tmr1h4
tmr1h4_bit
tmr1h5
tmr1h5_bit
tmr1h6
tmr1h6_bit
tmr1h7
tmr1h7_bit
tmr1ie
tmr1ie_bit
tmr1if
tmr1if_bit
tmr1l
tmr1l0
tmr1l0_bit
tmr1l1
tmr1l1_bit
tmr1l2
tmr1l2_bit
tmr1l3
tmr1l3_bit
tmr1l4
tmr1l4_bit
tmr1l5
tmr1l5_bit
tmr1l6
tmr1l6_bit
tmr1l7
tmr1l7_bit
tmr1md
tmr1md_bit
tmr1on
tmr1on_bit
tmr2
tmr2ie
tmr2ie_bit
tmr2if
tmr2if_bit
tmr2md
tmr2md_bit
tmr2on
tmr2on_bit
tmr3
tmr30
tmr30_bit
tmr31
tmr31_bit
tmr310
tmr310_bit
tmr311
tmr311_bit
tmr312
tmr312_bit
tmr313
tmr313_bit
tmr314
tmr314_bit
tmr315
tmr315_bit
tmr32
tmr32_bit
tmr33
tmr33_bit
tmr34
tmr34_bit
tmr35
tmr35_bit
tmr36
tmr36_bit
tmr37
tmr37_bit
tmr38
tmr38_bit
tmr39
tmr39_bit
tmr3clk
tmr3gate
tmr3gie
tmr3gie_bit
tmr3gif
tmr3gif_bit
tmr3h
tmr3h0
tmr3h0_bit
tmr3h1
tmr3h1_bit
tmr3h2
tmr3h2_bit
tmr3h3
tmr3h3_bit
tmr3h4
tmr3h4_bit
tmr3h5
tmr3h5_bit
tmr3h6
tmr3h6_bit
tmr3h7
tmr3h7_bit
tmr3ie
tmr3ie_bit
tmr3if
tmr3if_bit
tmr3l
tmr3l0
tmr3l0_bit
tmr3l1
tmr3l1_bit
tmr3l2
tmr3l2_bit
tmr3l3
tmr3l3_bit
tmr3l4
tmr3l4_bit
tmr3l5
tmr3l5_bit
tmr3l6
tmr3l6_bit
tmr3l7
tmr3l7_bit
tmr3md
tmr3md_bit
tmr3on
tmr3on_bit
tmr4
tmr4ie
tmr4ie_bit
tmr4if
tmr4if_bit
tmr4md
tmr4md_bit
tmr4on
tmr4on_bit
tmr5
tmr50
tmr50_bit
tmr51
tmr51_bit
tmr510
tmr510_bit
tmr511
tmr511_bit
tmr512
tmr512_bit
tmr513
tmr513_bit
tmr514
tmr514_bit
tmr515
tmr515_bit
tmr52
tmr52_bit
tmr53
tmr53_bit
tmr54
tmr54_bit
tmr55
tmr55_bit
tmr56
tmr56_bit
tmr57
tmr57_bit
tmr58
tmr58_bit
tmr59
tmr59_bit
tmr5clk
tmr5gate
tmr5gie
tmr5gie_bit
tmr5gif
tmr5gif_bit
tmr5h
tmr5h0
tmr5h0_bit
tmr5h1
tmr5h1_bit
tmr5h2
tmr5h2_bit
tmr5h3
tmr5h3_bit
tmr5h4
tmr5h4_bit
tmr5h5
tmr5h5_bit
tmr5h6
tmr5h6_bit
tmr5h7
tmr5h7_bit
tmr5ie
tmr5ie_bit
tmr5if
tmr5if_bit
tmr5l
tmr5l0
tmr5l0_bit
tmr5l1
tmr5l1_bit
tmr5l2
tmr5l2_bit
tmr5l3
tmr5l3_bit
tmr5l4
tmr5l4_bit
tmr5l5
tmr5l5_bit
tmr5l6
tmr5l6_bit
tmr5l7
tmr5l7_bit
tmr5md
tmr5md_bit
tmr5on
tmr5on_bit
tmr6
tmr6ie
tmr6ie_bit
tmr6if
tmr6if_bit
tmr6md
tmr6md_bit
tmr6on
tmr6on_bit
tosh
tosl
trisa
trisa0
trisa0_bit
trisa1
trisa1_bit
trisa2
trisa2_bit
trisa3
trisa3_bit
trisa4
trisa4_bit
trisa5
trisa5_bit
trisa6
trisa6_bit
trisa7
trisa7_bit
trisb
trisb0
trisb0_bit
trisb1
trisb1_bit
trisb2
trisb2_bit
trisb3
trisb3_bit
trisb4
trisb4_bit
trisb5
trisb5_bit
trisb6
trisb6_bit
trisb7
trisb7_bit
trisc
trisc0
trisc0_bit
trisc1
trisc1_bit
trisc2
trisc2_bit
trisc3
trisc3_bit
trisc4
trisc4_bit
trisc5
trisc5_bit
trisc6
trisc6_bit
trisc7
trisc7_bit
trisd
trisd0
trisd0_bit
trisd1
trisd1_bit
trisd2
trisd2_bit
trisd3
trisd3_bit
trisd4
trisd4_bit
trisd5
trisd5_bit
trisd6
trisd6_bit
trisd7
trisd7_bit
trise
trise0
trise0_bit
trise1
trise1_bit
trise2
trise2_bit
trmt
trmt_bit
tsel0
tsel0_bit
tsel1
tsel1_bit
tsel2
tsel2_bit
tsel3
tsel3_bit
tsen
tsen_bit
tsrng
tsrng_bit
tune
tune_cnt
tx1reg
tx1sta
tx9
tx9_bit
tx9d
tx9d_bit
txen
txen_bit
txie
txie_bit
txif
txif_bit
txpps
txpps0
txpps0_bit
txpps1
txpps1_bit
txpps2
txpps2_bit
txpps3
txpps3_bit
txpps4
txpps4_bit
txreg
txreg1
txsta
txsta1
txt
txt_2
ua1
ua1_bit
ua2
ua2_bit
uart1md
uart1md_bit
vdelay_advanced_ms
vdelay_ms
volt_cnt
voltage
voltage_old
voltage_show
vref_mask
w
watch_cnt
watch_swr
wcol1
wcol1_bit
wcol2
wcol2_bit
wdtcon0
wdtcon1
wdtcs0
wdtcs0_bit
wdtcs1
wdtcs1_bit
wdtcs2
wdtcs2_bit
wdtps0
wdtps0_bit
wdtps1
wdtps1_bit
wdtps2
wdtps2_bit
wdtps3
wdtps3_bit
wdtps4
wdtps4_bit
wdtpscnt0
wdtpscnt0_bit
wdtpscnt1
wdtpscnt1_bit
wdtpscnt10
wdtpscnt10_bit
wdtpscnt11
wdtpscnt11_bit
wdtpscnt12
wdtpscnt12_bit
wdtpscnt13
wdtpscnt13_bit
wdtpscnt14
wdtpscnt14_bit
wdtpscnt15
wdtpscnt15_bit
wdtpscnt16
wdtpscnt16_bit
wdtpscnt17
wdtpscnt17_bit
wdtpscnt2
wdtpscnt2_bit
wdtpscnt3
wdtpscnt3_bit
wdtpscnt4
wdtpscnt4_bit
wdtpscnt5
wdtpscnt5_bit
wdtpscnt6
wdtpscnt6_bit
wdtpscnt7
wdtpscnt7_bit
wdtpscnt8
wdtpscnt8_bit
wdtpscnt9
wdtpscnt9_bit
wdtpsh
wdtpsl
wdtsen
wdtsen_bit
wdtstate
wdtstate_bit
wdttmr
wdttmr0
wdttmr0_bit
wdttmr1
wdttmr1_bit
wdttmr2
wdttmr2_bit
wdttmr3
wdttmr3_bit
wdtwindow0
wdtwindow0_bit
wdtwindow1
wdtwindow1_bit
wdtwindow2
wdtwindow2_bit
window0
window0_bit
window1
window1_bit
window2
window2_bit
word2double
wordtohex
wordtostr
wordtostrwithzeros
wpua
wpua0
wpua0_bit
wpua1
wpua1_bit
wpua2
wpua2_bit
wpua3
wpua3_bit
wpua4
wpua4_bit
wpua5
wpua5_bit
wpua6
wpua6_bit
wpua7
wpua7_bit
wpub
wpub0
wpub0_bit
wpub1
wpub1_bit
wpub2
wpub2_bit
wpub3
wpub3_bit
wpub4
wpub4_bit
wpub5
wpub5_bit
wpub6
wpub6_bit
wpub7
wpub7_bit
wpuc
wpuc0
wpuc0_bit
wpuc1
wpuc1_bit
wpuc2
wpuc2_bit
wpuc3
wpuc3_bit
wpuc4
wpuc4_bit
wpuc5
wpuc5_bit
wpuc6
wpuc6_bit
wpuc7
wpuc7_bit
wpud
wpud0
wpud0_bit
wpud1
wpud1_bit
wpud2
wpud2_bit
wpud3
wpud3_bit
wpud4
wpud4_bit
wpud5
wpud5_bit
wpud6
wpud6_bit
wpud7
wpud7_bit
wpue
wpue0
wpue0_bit
wpue1
wpue1_bit
wpue2
wpue2_bit
wpue3
wpue3_bit
wr
wr_bit
wreg
wreg_shad
wren
wren_bit
wrerr
wrerr_bit
wue
wue_bit
x1
x1_bit
x10
x10_bit
x11
x11_bit
x12
x12_bit
x13
x13_bit
x14
x14_bit
x15
x15_bit
x2
x2_bit
x3
x3_bit
x4
x4_bit
x5
x5_bit
x6
x6_bit
x7
x7_bit
x8
x8_bit
x9
x9_bit
z
z_bit
z_shad
z_shad_bit
zcdcon
zcdie
zcdie_bit
zcdif
zcdif_bit
zcdintn
zcdintn_bit
zcdintp
zcdintp_bit
zcdmd
zcdmd_bit
zcdout
zcdout_bit
zcdpol
zcdpol_bit
zcdsen
zcdsen_bit
zero
zero_bit